fir16阶fir滤波器阶数计算代表它是多少位数据

君,已阅读到文档的结尾了呢~~
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
8位16阶线性FIR滤波器EDA课程设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口基于DSP Builder的16阶FIR滤波器实现
基于DSP Builder的16阶FIR滤波器实现
发布: | 作者:-- | 来源: -- | 查看:203次 | 用户关注:
  FIR 数字滤波器在数字信号处理的各种应用中发挥着十分重要的作用,它能够提供理想的线性相位响应,在整个频带上获得常数群时延,从而得到零失真输出信号,同时它可以采用十分简单的算法予以实现。这些优点使 FIR滤波器 成为设计工程师的首选。在采用VHDL或VerilogHDL等硬件描述语言设计数字滤波器时。由于程序的编写往往不能达到良好优化而使滤波器性能表现一般,而采用调试好的IP Co
  0 引 言
  FIR 数字滤波器在数字信号处理的各种应用中发挥着十分重要的作用,它能够提供理想的线性相位响应,在整个频带上获得常数群时延,从而得到零失真输出信号,同时它可以采用十分简单的算法予以实现。这些优点使 FIR滤波器 成为设计工程师的首选。在采用VHDL或VerilogHDL等硬件描述语言设计数字滤波器时。由于程序的编写往往不能达到良好优化而使滤波器性能表现一般,而采用调试好的IP Core需要向Al-tera公司购买。在此,采用一种基于 DSP
Builder 的FPGA设计方法,使FIR滤波器设计较为简单易行,并能满足设计要求。
  1 FIR滤波器介绍
  1.1 FIR滤波器原理
  对于一个FIR滤波器系统,它的冲激响应总是有限长的,最具体的FIR滤波器可用下式表示:
&& 式中:r是FIR滤波器的抽头数;x(n-r)是延时,r个抽头的输入信号;b(r)是第r级抽头数(单位脉冲响应);M是滤波器的阶数;y(n)表示滤波器的输出序列。滤波器就是寻求一个可实现的系统函数H(z),使其频率响应H(ejω)满足所希望得到的频域信号,也可以用卷积的形式来表示:
  y(n)=z(n)*h(n)
  典型的直接I型FIR滤波器如图1表示,其输出序列y(n)满足下式:
  1.2 设计要求
  数字滤波器实际上是一个采用有限精度算法实现的线性非时变离散系统。它的设计步骤是先根据需要确定其性能指标,设计一个系统函数h(n)逼近所需要的性能指标,滤波器的系数计算可以借助Matlab强大的计算功能和现成滤波器设计工具来完成,最后采用有限的精度算法实现。该系统的设计指标为:设计一个 16阶 的低通滤波器,选模拟信号的采样频率Fs为5 kHz,要求信号的截止频率Fc=1 kHz,输入序列的位宽为9位(最宽位为符号位)。激励源为幅值为27,频率为800 Hz与1 600 Hz两个信号的混频信号。
  2 基于DSP Builder设计FIR滤波器
  2.1 DSP Builder介绍
  DSP Builder是美国Al-tera公司推出的一个面向DSP开发的系统级设计工具,它在QUARTusⅡ设计环境中集成了Matlab和 SimuIinkDSP开发软件。以往使用的Matlab工具仅仅作为DSP算法的建模和基于纯数学的仿真,其数学模型无法为硬件DSP应用系统直接产生实用的程序代码,仿真测试的结果也往往是基于数学的算法结果。而以往的FPGA所需要的传统基于硬件描述语言的设计因考虑了FPGA硬件的延时与VHDL 递归算法的衔接,以及补码运算和乘积结果截取等问题,所以相当繁琐。而对于DSP Builder而言,它作为Matlab的一个Simulink工具箱,使得用FPGA设计的DSP系统完全可以通过Simulink的图形化界面进行建模、系统级仿真。设计模型可直接向VHDL硬件描述语言转换,并自动调用QuartusⅡ等EDA设计软件,完成综合、网表生成以及器件适配乃至FPGA 的配置下载,使得系统描述与硬件实现有机地融合,充分体现了现代电子技术自动化开发的特点与优势。
  2.2 FIR滤波器的设计
  2.2.1 FIR滤波器参数选取
  采用Matlab提供的滤波器专用设计工具FDAtool仿真设计的滤波器,可满足要求的FIR滤波器幅频特性,由于浮点小数在FPGA中实现得比较困难,且代价太大,因而需要将滤波器的系数和输人数据转化为整数,其中量化后的系统可以在Matlab主窗口中直接转化,对于输入数据,乘以28的增益用 Altbus控制位宽转化为整数输入。
  2.2.2 FIR滤波器模型的建立
  根据FIR滤波器原理,可以利用FPGA来实现FIR滤波电路。DSP Builder设计流程的第一步是在Matlab/Simulink中进行设计输入的,即在Matlab的Simulink环境中建立一个MDL模型文件,用图形方式调用DSP Builder和其他Simulink库中的图形模块,构成系统级或算法级设计框图,如图2所示。
&  2.2.3 在Simulink中的仿真并生成VHDL代码
  完成模型设计之后,可以先在Simulink中对模型进行仿真,可以通过Simulink中的示波器模块查看各个步骤的中间结果。输入信号采用800 Hz和1 600 Hz,幅值相同的两个正弦信号的叠加。仿真结果如图3所示。从仿真波形可以看出,通过滤波器之后,1 600 Hz的信号能够被很好地滤除。
  双击SignalCompiler可对以上的设计模型进行分析,选择相应的芯片,将以上设计模块图文件“翻译”成VHDL语言。
&  2.2.4 Modelsim的RTL仿真
  在Simulink中进行的仿真属于系统验证性质的,是对mdl文件进行的仿真,并没有对生成的VHDL代码进行仿真。事实上,生成VHDL描述的是 RTL级的,是针对具体的硬件结构,而在Matlab的Simulink中的模型仿真是算法级的,两者之间有可能存在软件理解上的差异,转化后的VHDL 代码实现可能与mdl模型描述的情况不完全相符。这就需要针对生成的RTL级VHDL代码进行功能仿真,仿真结果如图4所示。
  仿真结果说明,在Modelsim中仿真结果和Simulink里的仿真结果基本一致,该滤波器有较好的滤波效果。可以看出,输入正弦波经过数字化过程以后,能够在Modelsim中还原出模拟波形.符合设计的要求,可以在QLtortusⅡ的环境下进行硬件设计。
  2.2.5 滤波器在FPGA上的实现
  在 QUARTusⅡ环境中,打开 DSP
Builder 建立的QuartusⅡ项目文件,在QuartusⅡ中再进行一次仿真,由此可以看到符合要求的时序波形。然后指定器件引脚,并进行编译,最后下载到FPGA器件中,就可以对硬件进行测试,加上clok信号和使能信号,用信号发生器产生所要求的两个不同频率的正弦信号,就可以在示波器上看到滤波后的结果。需要设计不同的滤波器电路是仅修改 FIR滤波器 模型文件就可以实现,这样不仅避免了繁琐的VHDL语言编程,而且便于进行调整。
  3 结 语
  在利用FPGA进行数字滤波器开发时,采用DSPBuilder作为设计工具,能加快进度。当然,在实际应用中,受精度、速度和器件选择方面的影响,可能对其转化的VHDL进行进一步的优化。
本页面信息由华强电子网用户提供,如果涉嫌侵权,请与我们客服联系,我们核实后将及时处理。
&&& 目前,处理器性能的主要衡量指标是时钟频率。绝大多数的集成电路 (IC) 设计都基于同  前面一篇文章介绍了通过FDATool工具箱实现滤波器的设计,见&&,这里通过几个例子说明采用Matlab语言设计FIR滤波器的过程。
二、实验平台
  Matlab7.1
三、实验原理
  以低通滤波器为例,其常用的设计指标有:
通带边缘频率fp(数字频率为&Op)
阻带边缘频率fst&(数字频率为&Ost)
通带内最大纹波衰减&p=-20log10(1-&p),单位为 dB
阻带最小衰减&s=-20log10(&s),单位为 dB
阻带起伏&s
通带峰值起伏&p
  其中,以1、2、3、4条最为常用。5、6条在程序中估算滤波器阶数等参数时会用到。
  数字频率 = 模拟频率/采样频率
四、实例分析
例1&&用凯塞窗设计一FIR低通滤波器,通带边界频率&Op=0.3pi,阻带边界频率&&Os=0.5pi,阻带衰减&s不小于50dB。
方法一:手动计算滤波器阶数N和&值,之后在通过程序设计出滤波器。
第一步:通过过渡带宽度和阻带衰减,计算滤波器的阶数B和&值。
第二步:通过程序设计滤波器。
程序如下:
b&=&fir1(29,0.4,kaiser(30,4.55));
[h1,w1]=freqz(b,1);&&
plot(w1/pi,20*log10(abs(h1)));&
axis([0,1,-80,10]);&
xlabel('归一化频率/p')&;
ylabel('幅度/dB')&;
波形如下:
采用[n,Wn,beta,ftype]&=&kaiserord(f,a,dev)函数来估计滤波器阶数等,得到凯塞窗滤波器。
  这里的函数kaiserord(f,a,dev)或者kaiserord(f,a,dev,fs):
  f为对应的频率,fs为采样频率;当f用数字频率表示时,fs则不需要写。
  a=[1&0]为由f指定的各个频带上的幅值向量,一般只有0和1表示;a和f长度关系为(2*a的长度)- 2=(f的长度)
  devs=[0.05&10^(-2.5)]用于指定各个频带输出滤波器的频率响应与其期望幅值之间的最大输出误差或偏差,长度与a相等,计算公式:
阻带衰减误差=&s,通带衰减误差=&p,可有滤波器指标中的3、4条得到。
  fs缺省为2Hz。
程序如下:
fcuts&=&[0.3&&0.5];&%归一化频率omega/pi,这里指通带截止频率、阻带起始频率
mags&=&[1&0];
devs&=&[0.05&10^(-2.5)];
[n,Wn,beta,ftype]&=&kaiserord(fcuts,mags,devs);&&%计算出凯塞窗N,beta的值
hh&=&fir1(n,Wn,ftype,kaiser(n+1,beta),'noscale');&
freqz(hh);
波形如下:
  实际中,一般调用MATLAB信号处理工具箱函数remezord来计算等波纹滤波器阶数N和加权函数W(&),调用函数remez可进行等波纹滤波器的设计,直接求出滤波器系数。函数remezord中的数组fedge为通带和阻带边界频率,数组mval是两个边界处的幅值,而数组dev是通带和阻带的波动,fs是采样频率单位为Hz。
例2& 利用雷米兹交替算法设计等波纹滤波器,设计一个线性相位低通FIR数字滤波器,其指标为:通带边界频率fc=800Hz,阻带边界fr=1000Hz,通带波动&阻带最小衰减At=40dB,采样频率fs=4000Hz。&
解:在MATLAB中可以用remezord&和remez两个函数设计
程序如下:&
fedge=[800&1000];&
mval=[1&0];&
[N,fpts,mag,wt]=remezord(fedge,mval,dev,fs);&
b=remez(N,fpts,mag,wt);&
[h,w]=freqz(b,1,256);&
plot(w*2000/pi,20*log10(abs(h)));&
xlabel('频率/Hz')&;
ylabel('幅度/dB');
波形如下:
例3&利用MATLAB编程设计一个数字带通滤波器,指标要求如下:通带边缘频率:&Op1=0.45pi,&Op2=0.65pi,通带峰值起伏:&1&=1[dB]。阻带边缘频率:&Os1=0.3pi,&Os2=0.8pi,最小阻带衰减:&2&=40[dB]&。
方法一:窗函数法
程序如下:
[n,wn,bta,ftype]=kaiserord([0.3&0.45&0.65&0.8],[0&1&0],[0.01&0.]);%用kaiserord函数估计出滤波器阶数n和beta参数
h1=fir1(n,wn,ftype,kaiser(n+1,bta),'noscale');
[hh1,w1]=freqz(h1,1,256);
subplot(2,1,1)
plot(w1/pi,20*log10(abs(hh1)))
xlabel('归一化频率w');ylabel('幅度/db');
subplot(2,1,2)
plot(w1/pi,angle(hh1))
xlabel('归一化频率w');ylabel('相位/rad');
波形如下:
滤波器系数为:
&&Columns&1&through&8&
&&&&0.0041&&&&0.0055&&&-0.0091&&&-0.0018&&&-0.0056&&&-0.0000&&&&0.0391&&&-0.0152
&&Columns&9&through&16&
&&&-0.0381&&&&0.0077&&&-0.0293&&&&0.0940&&&&0.0907&&&-0.2630&&&-0.0517&&&&0.3500
&&Columns&17&through&24&
&&&-0.0517&&&-0.2630&&&&0.0907&&&&0.0940&&&-0.0293&&&&0.0077&&&-0.0381&&&-0.0152
&&Columns&25&through&31&
&&&&0.0391&&&-0.0000&&&-0.0056&&&-0.0018&&&-0.0091&&&&0.0055&&&&0.0041
如果直接用freqz(h1,1,256),得幅频特性和相频特性曲线:
方法二:等波纹法设计
程序如下:
[n,fpts,mag,wt]=remezord([0.3 0.45 0.65 0.8],[0 1 0],[0.01 0.]);%用remezord函数估算出remez函数要用到的阶n、归一化频带边缘矢量fpts、频带内幅值响应矢量mag及加权矢量w,使remez函数设计出的滤波器满足f、a及dev指定的性能要求。h2=remez(n,fpts,mag,wt);%设计出等波纹滤波器[hh2,w2]=freqz(h2,1,256);figure(2)subplot(2,1,1)plot(w2/pi,20*log10(abs(hh2)))gridxlabel('归一化频率w');ylabel('幅度/db');subplot(2,1,2)plot(w2/pi,angle(hh2))gridxlabel('归一化频率w');ylabel('相位/rad');h2
波形如下:
滤波器系数如下:
&&Columns&1&through&9&
&&&-0.0013&&&&0.0092&&&-0.0255&&&-0.0642&&&&0.1177&&&&0.0922&&&-0.2466&&&-0.0466&&&&0.3116
&&Columns&10&through&17&
&&&-0.0466&&&-0.2466&&&&0.0922&&&&0.1177&&&-0.0642&&&-0.0255&&&&0.0092&&&-0.0013
如果直接用freqz(h2,1,256);得幅频特性和相频特性曲线:
方法三:采用FDATool工具
&这种方法需要事先计算出滤波器的阶数,bate值,然后设置相应参数,最后生成滤波器。
&设置界面如下图所示:
&  将上述圈圈的区域设置好之后,生成滤波器,最后通过analysis菜单可以观察生成的滤波器的各种特性曲线和滤波器系数。这里的滤波器系数跟方法一的一样。
波形如下:
五、结果分析
5.1& 滤波器设计总结
  FIR滤波器实现一般采用窗函数法和等纹波设计法。窗函数法还包含两个分支,一种是用公式先手动算出值和其他对应得窗函数参数值,再代入窗函数和实现,一种是用函数*rord估算出和相应参数再用实现。不过要注意会低估或高估阶次,可能会使滤波器达不到指定的性能,这时应稍微增加或降低阶次。如果截止频率在或频率附近,或者设定的值较大,则得不到正确结果。
  滤波器实现形式及特点:由于一般的滤波器在利用窗函数是其通带波纹和阻带波纹不同(一般为第一个阻带波纹最大)因此,在满足第一个阻带衰减旁瓣时,比其频率高的旁瓣,它们的衰减都大大超出要求。而根据阻带衰减与项数的近似关系N = P(&2)*fs/TW,可得当阻带衰减越大,所需项数越多。
5.2& 窗函数法和等波纹设计的不同之处
  窗函数设计是通过最小平方积分办法来设计的,即该滤波器的误差为:
  即要求最小方法来设计滤波器,这样的滤波器更忠实于理想滤波器(即滤波系数更接近于理想滤波器)。
证明如下:
因此,幅度频谱差值越小,实际滤波器就越接近理想滤波器。
  而等波纹滤波器是通过最大加权误差最小化来实现,其误差为:
要求该误差最小来实现滤波器,得出来的滤波系数较窗函数设计相差较远。
以下通过对例3中的及作比较。
%sigsum是用来对数组各元素进行求和
function&y=sigsum(n1,n2,n,x);
for&i=n1+1-min(n):n2+1-min(n)
&&&&y=y+x(i);
n=0.001:30.001;
h=2*cos(0.55*pi*(n-15)).*sin(0.175*pi*(n-15))./(pi*(n-15));
delta1=h-h1;
n=0.001:16.001;
h=2*cos(0.55*pi*(n-15)).*sin(0.175*pi*(n-15))./(pi*(n-15));
delta2=h-h2;
y1=sigsum(0,30,[0:30],(abs(delta1).^2))/31;
y2=sigsum(0,16,[0:16],(abs(delta2).^2))/17;
&结果如下:
&&&&0.0278
  由此得到用窗函数实现的滤波系数比用等波纹滤波器系数的每一项更接近于理想滤波器(为用窗函数实现的与理想滤波器的差值,为用等波纹滤波器实现的与理想滤波器的差值);
  对比二者的幅度频谱可知,等波纹滤波器阻带边缘比用窗函数实现的更平滑(理想滤波器为垂直下降的)。
  从设计的角度考虑,由于窗函数设计法都是通过已有的窗函数对理想滤波器的改造,因此,可以用手算的办法方便的设计滤波器。
而等波纹滤波器,其实现是通过大量的迭代运算来实现,这样的方法一般只能通过软件来设计。
  项数的问题由于等波纹滤波器能较平均的分布误差,因此对于相同的阻带衰减,其所需的滤波系数比窗函数的要少。
5.3&几点说明
1.相频特性曲线形状不同说明
  上面第一个图是用角度为单位画出来的,下面的图是用单位画出来的。从图形可以观察到在到数字频率间两个图都是严格的线性相位,至于下面的图为什么在这个区间会有跳变是因为的区间只有&&,当相位由继续增加时只能跳到而不能大于,而角度表示则可以连续增大。
2.调用或者函数时,用(缺省方式)对滤波器进行归一化,即滤波器通带中心频率处的响应幅值为。用不对滤波器归一化。
阅读(...) 评论()  【摘要】本文是利用Altera提供的DSP Builder开发工具从Simulink模型自动生成VHDL代码的一种FP" />
免费阅读期刊
论文发表、论文指导
周一至周五
9:00&22:00
基于FPGA的16阶FIR数字滤波器的设计
2013年16期目录
&&&&&&本期共收录文章20篇
  【摘要】本文是利用Altera提供的DSP Builder开发工具从Simulink模型自动生成VHDL代码的一种FPGA设计方法来实现一个16阶FIR数字低通滤波器,设计出的滤波器用于线性调制的相干解调中。通过对设计的电路进行验证和仿真,结果表明电路工作正确可靠,能满足设计要求。 中国论文网 /8/view-4587745.htm  【关键词】FPGA;DSP Builder;FIR数字低通滤波器;相干解调   1.引言   信号的数字滤波在图像处理、语音识别、模式识别等数字信号处理中都占有要的地位。与模拟滤波器相比,数字滤波器可以满足滤波器幅度和相位特性的严格要求,可以克服模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。根据数字滤波器冲激响应函数的时域特性,可将数字滤波器分为无限长冲激响应(IIR)滤波和有限长冲激响应(FIR)滤波器两种。由于FIR系统只有零点、系统稳定,便于实FFT算法、运算速度快、线性相位的特性和设计更为灵活等突出优点而在工程实际中得广泛应用。   在复杂运算中,FPGA的处理速度表现了很好的优势,而且FPGA开发周期短且编程灵活,易于反复擦写,算法实现不受DSP之类固件性能的限制。   本文研究了基于FPGA的16阶数字低通滤波器硬件电路的实现方法。设计出的16阶FIR数字低通滤波器用于线性调制中的相干解调(也叫同步解调)来实现对原始信号的恢复。   2.本设计利用的基本原理及设计指标的选择   2.1 相干解调的基本原理   在线性调制中,设调制信号m(t)=sinω1t,载波为cosωct,则已调信号:   Sm(t)=m(t)cosωct   将已调信号乘上一个同频同相的载波,得:   χ(t)=Sm(t)cosωct=m(t)(cosωct)2 =0.5m(t)+0.5m(t)cos2ωct   由上式可知,用一个低通滤波器可以将第一项与第二项分离,从而恢复出原始的调制信号,这种方法称为同步解调或相干解调。   相干解调器的一般模型如图1,它由相乘器和低通滤波器组成,可用于AM、DSB、SSB、VSB信号的解调。   3.基于FPGA的FIR滤波器的设计   设计指标:16阶FIR数字低通滤波器;输入为8位有符号数;输出为8位无符号数;抽样频率为50KHZ;带宽为10KHZ。   3.1 FIR滤波器系数的获得   常用的线性相位FIR滤波器,其单位脉冲响应均为实数,且满足偶对称或奇对称的条件,即h(n)=h(M-1-n)或h(n)=-h(M-1-n)。   MATLAB集成了一套功能强大的滤波器设计工具FDATool(Filter Design & Analysis Tool),可以完成多种滤波器的设计、分析和性能评估。本文就是利用FDATool来自动生成所要设计的滤波器的系数。利用FDATool计算出的只是一个有符号小数,如下:   3.2 使用DSP Builder提供的模块构造FIR数字低通滤波器   本设计中利用4个4阶子系统来构建一个16阶的FIR滤波器,经推算得输出为16位的有符号数,但一般的D/A器件的输入数据都是无符号的正数。因此,为了能在硬件系统上D/A的输出也能观察到此波形,必须对此输出做一些改进,以便输出无符号数据。用BusConversion模块把16位转化为8位,再用BusConcatenation把有符号数转化为无符号数。为进行仿真观察添加了示波器模块。电路图如下,图2为4阶子系统,图3为总的电路图。   3.3 MATLAB仿真   根据上面构造的16阶FIR数字低通滤波器电路图,在MATLAB里进行仿真,可以从示波器上观察到仿真波形为图4:   上图中第一个是原始调制信号m(t),第二个是载波信号cosωct,第三个是进入滤波器前的信号x(t),由此可见进入滤波器之前的信号的包络就是原始信号与其反褶信号的叠加,我们希望经过滤波器之后能够把原始信号恢复出来。第四个是经过滤波器后的输出信号,可见输出信号与原始信号基本上是一致的,只是由于噪声的存在产生了一点失真。第五个是延迟后的输入信号。   3.4 自动生成代码及在Quartus Ⅱ中进行仿真   通过MATLAB仿真,可以发现该构造已达到设计要求,接着用于生成代码,在Simulink编辑窗中(即图3中)先进行编译,再双击Signal-Compiler模块,点击“Analyze”来自动生成VHDL语言和在Quartus Ⅱ中自动生成工程文件。在Quartus Ⅱ中打开自动生成的工程文件进行编译,编译成功后,再根据输入和输出结点新建一个波形文件进行编译和仿真,观察仿真结果并进行分析,经分析该仿真结果发现输出的数据正好对应图4中的输出波形的幅度,因此说,此仿真结果是正确的。其中oyout是通过滤波器后的输出结果,oyout1是延迟信号。仿真结果如图5所示:   3.5 引脚锁定及下载   通过查芯片引脚对照表,确定引脚分别为:时钟CLK接179;清零sclrp接240;8位数据输出分别接21、41、128、132、133、134、135、136。将引脚锁定后再编译一次,将引脚信息一同编译进配置文件中,最后就可以把配置文件下载进目标文件中。   4.结束语   从本设计中可见,利用Altera提供的DSP Builder开发工具从Simulink模型自动生成FPGA实现代码的设计方法缩短了设计周期,提高了设计的灵活性。因此,这种方法得到了广泛的应用。   从Simulink模型自动生成FPGA实现代码的设计方法使我们可以利用Simulink快捷灵活的仿真功能和MATLAB强大的数据分析能力进行FPGA系统级的仿真,使我们不用人工编写VHDL语言,给我们带来了很大的方便。   参考文献   [1]潘松,黄继生,王国栋.现代DSP技术[M].西安电子科技大学出版社.   [2]曹志刚,钱亚生.现代通信原理[M].清华大学出版社.   [3]SOPC技术实验讲义[Z].杭州康芯电子有限公司.
转载请注明来源。原文地址:
【xzbu】郑重声明:本网站资源、信息来源于网络,完全免费共享,仅供学习和研究使用,版权和著作权归原作者所有,如有不愿意被转载的情况,请通知我们删除已转载的信息。
xzbu发布此信息目的在于传播更多信息,与本网站立场无关。xzbu不保证该信息(包括但不限于文字、数据及图表)准确性、真实性、完整性等。

我要回帖

更多关于 高阶分布式fir滤波器 的文章

 

随机推荐