明德扬的至简设计法怎么样?有简布寨在哪里里培训过的人么

潘文明至简设计法系列教程-Verilog快速掌握新版简介 - 学习交流区 - OpenHW技术社区
后使用快捷导航没有帐号?
扫一扫,访问微社区
查看: 62|回复: 1
潘文明至简设计法系列教程-Verilog快速掌握新版简介
主题帖子积分
初级会员, 积分 105, 距离下一级还需 95 积分
初级会员, 积分 105, 距离下一级还需 95 积分
Verilog快速掌握新版简介Verilog HDL作为一种硬件描述语言是我们在学习FPGA时所必须掌握的工具之一。虽然Verilog HDL的最大优点是易学易用,但是由于其语法较为自由,因此初学者在使用的过程中容易犯一些错误。明德扬至简设计法由拥有多年FPGA代码编写经验的潘文明老师首创,不仅能让初学者在短时间内掌握Verilog语言,而且编写出的代码简洁无冗余、准确度高。我们将通过一系列案例,让您得到关于Verilog的高效点拨。 01 D触发器及代码本视频讲述了编写FPGA程序最重要的基础——D触发器。很多同学觉得要把数字电路基础学完,才能学习FPGA。实际上,很多知识是不需要用到的,我们只要清楚D触发器就足够了。大家通过学习本视频之后便可以开始着手写代码,无需再浪费大量的时间去学习理论知识,实践才能出真知!
02 FPGA设计思维什么是FPGA设计思维呢?本视频可以告诉你,教您区别、理解硬件思维和软件思维的不同,让你全方位掌握FPGA的设计思路。
03 我们的第一个设计当你看到一个项目要求之后,如何设计呢?也许你自己感觉已经懂了,于是在模模糊糊的情况下就开始写代码,没有清晰步骤,最后需要反复打补丁才完成?本视频通过一个简单的例子告诉你如何正确地设计,手把手教你设计的思路、过程。
04 我们的第一个设计思路明德扬根据多年项目和培训经验,总结和制定了一套科学严谨的计数器规则。只要按照我们的“计数器架构八步法”,一步步考虑你的设计,就完全不存在无思路、无从下手的问题。本视频主要通过一个案例来阐述明德扬“计数器架构八步法”如何实现代码的全过程,即便您是Verilog初学者,也能快速掌握。
05 第一个设计语法总结如今市面上有不少关于Verilog语法的书籍,但实际上我们常用的语法并不多,如果花费大量的时间和精力去钻研全部的语法,那么这显然是不明智的做法。本课程所介绍的语法,可以覆盖绝大部分的应用,而且完全不影响设计效率。我们视频通过总结一些基本的语法应用,让您掌握至简设计法的精髓,帮助您在以后的学习中打下坚实的基础。
06 我们的第二个设计——串口本视频介绍的是串口的发送模块的练习要求,让您在实践中掌握至简设计法的精髓。
主题帖子积分
中级会员, 积分 492, 距离下一级还需 8 积分
中级会员, 积分 492, 距离下一级还需 8 积分
谢谢!!!!!!!!!!!!!
联系电话: 3-8069
Powered by设计_EEWorld电子工程世界搜索中心
搜索范围:
一周以内&&&&
搜索到约<span style="color:#项结果
& & 打开包裹看到2块单板、1个模块、2个usb连接线,东西很齐全,开箱连接电脑即可。
& & 看完板子,再来运行3个小程序吧,一个是led的,一路pwm和两路pwm的。& & 根据官网的指导,下载好MCUXpresso IDE和SDK,打开IDE,导入SDK,里面有很多例子可以参考。这里赞一下NXP,例子很全,驱动的、RTOS的、通信的例子都非常多。另外根据官网的平台快速入门来安装和使用软件,简直 就是太方便了,胜过一切入门教程。& & 这里给出地址:/cn/produ...
.cn/my/space-uid-414882-blogid-586907.html 发布时间:
开发环境:KEIL MDK 5.23MCU型号:MAX32630在编译工程文件是,出现Undefined symbol __use_two_region_memory 和Undefined symbol __initial_sp,如下图所示
知其然就要知其所以然,我们先来了解一下 __use_two_region_memory是什么东西吧。use_two_region_memory用于指定存储器模式为双段模式,即一部分储存区用于栈空间,其他的存储区用于堆空间,堆区空间可以为0。
在汇编代码中,通过 IMPORT __use_two_region_memory 表明使用双段模式;
.cn/my/space-uid-784175-blogid-586901.html 发布时间:
双反星带平衡电抗器,功率元件采用大功率晶闸管。  2、 整流变压器采用0.27或0.35mm高导磁率,无向冷轧硅钢片,设计成五柱三相输入,初次级用电阻率低的无氧紫铜线绕制;主变压器采用两次真空浸漆、烘干,使其安全系数和稳定性得到有效的保障。  3、 冷却方式分为自冷户外式、风冷、水冷、油浸水冷等可按工艺要求选择。  4、 具有周期换向、正向、反向三种可选工作状态,正负极切换时间、电压、电流调节...
.cn/my/space-uid-789021-blogid-586770.html 发布时间:
今天周末,空下来给大家分享一下MAX32630的ADC采样程序,包括中断式和非中断式。
首先,我们来比较一下STM32F4与MAX32630在ADC方面的区别:
(1)12位ADC
(2)支持DMA
(3)每个ADC通道自带数据寄存器
(4)最大转换速率2.4MHz
MAX32630:
(1)10位ADC
(2)不支持DMA
(3)每个ADC通道共用一个数据寄存器
(4)最大转换速率8MHz
下面给出非中断式和中断式的ADC采样程序
[C] 纯文本查看 复制代码/***** Includes *****/
#include &stdio....
.cn/my/space-uid-784175-blogid-586768.html 发布时间:
! 现 注册资金1000万,拥有100多名经验丰富的科技研发人才组成的研发团队,60%以上拥有研究生学历,拥有数十项技术发明和专利。便携式储能电源便携式储能电源深圳微网国际致力于新 能源事业的发展,以新能源项目的投资、建设、运营与管理为模式,立足于深圳,服务于国内外客户,提供新能源汽车充电设施投资、建设、运营,分布式光伏发 电、储能项目的勘查设计,EPC安装工程等一站式服务; 以绿色环保、安全可靠...
.cn/my/space-uid-790014-blogid-586763.html 发布时间:
半导体材料性能的基本方法。通过霍尔效应实验测定的霍尔系数,能够判断半导体材料的导电类型、载流子浓度及载流子迁移率等重要参数。霍尔传感器的主要还是磁电效应,对于环境的适应性是非常高的,在使用的过程当中,跟其他传感器不同的地方在自身的稳定性,使用电磁效应,对电路依靠性非常低,所以其使用寿命也是非常长的。在磁敏传感器中,除了霍尔传感器还有磁阻器件,磁阻器件也是根据磁阻效应来设计的,主要应用于力与力阻的...
.cn/my/space-uid-772905-blogid-586748.html 发布时间:
  本系列产品已于2016年12月份开始以月产10万个规模投入量产,前期工序的生产基地为ROHM Apollo Co., Ltd.(日本福冈县),后期工序的生产基地为ROHM Semiconductor (Korea) Co., Ltd.(韩国)。
  今后,ROHM将继续开发凝聚了ROHM模拟设计技术优势的高性能、高可靠性产品,不断为社会的进一步节能贡献力量。
本文来自论坛,点击查看...
.cn/my/space-uid-658291-blogid-586744.html 发布时间:
包括:&#8226; 流程的分支控制可以采取条件自动转换(如编译测试结果等),保证流程按照预先设计的路径执行,避免随意性&#8226; 支持流程之间的嵌套
&#8226; 软件研发流程自动化执行及分析:软件开发流程可以根据条件自动、手工或按照计划启动开始自动化执行
&#8226; 软件流程并行:并行的配置简单易用,可以支持多任务或任务内活动的并行执行& &&nbsp...
.cn/my/space-uid-626270-blogid-586741.html 发布时间:
并行计算和模拟能力,不仅为经典计算机无法解决的大规模计算难题提供有效解决方案,也可有效揭示复杂物理系统的规律,为新能源开发、新材料设计等提供指导,大幅降低用真实材料做试验投入的时间和资金。
在接近绝对零摄氏度的温度下,分子的化学反应完全由量子力学主导,将显著改变化学反应的行为。但限于之前的研究水平,超低温下量子态可分辨的化学反应迄今尚未被实验实现。
近期,中国科大团队首次成功观测到了超低温下...
.cn/my/space-uid-757683-blogid-586740.html 发布时间:
一般都包括一台主机或者“网关”,其通过一个无线电通信链路与大量无线传感器进行通信。数据收集工作在无线传感器节点完成,被压缩后,直接传输给网关,或者如果有要求,也可以利用其他无线传感器节点来将数据传递给网关。
目前,国内外主要研究无线传感器网络节点的低功耗硬件平台设计和拓扑控制、网络协议、定位技术等。以检测光线强度的传感器为例,实现了一个无线传感器网络,根据传感器所检测的光线强弱来关闭或开启...
.cn/my/space-uid-757683-blogid-586739.html 发布时间:
网关,或者如果有要求,也可以利用其他无线传感器节点来将数据传递给网关。 目前,国内外主要研究无线传感器网络节点的低功耗硬件平台设计和拓扑控制、网络协议、定位技术等。以检测光线强度的传感器为例,实现了一个无线传感器网络,根据传感器所检测的光线强弱来关闭或开启指示灯。在无线传感器网络中,普通节点将它采集的光强数据发送给网络协调器,网络协调器将含有控制变量的数据帧发送给带有指示灯接点的同时,还可以通过...
.cn/my/space-uid-757683-blogid-586738.html 发布时间:
一步,就是改变他的外在形象。& && &&&改变农贸市场外在形象,需要从三个方面着手:1、统一形象;2、改变环境卫& &统一形象主要是指农贸市场的VI设计应用,主要体现在logo标识、门头设计、内部装饰、市场人员着装、对外宣传物料等保持对外形象标准规范。统一的形象能在消费者心中形成初步的农贸市场品牌印象。生;3、合理规划...
.cn/my/space-uid-744027-blogid-586737.html 发布时间:
清爽的蓝绿色主色、头顶六边形的水立方天花板,加上站厅内明亮的灯光,即将于年底开通的七号线一期石壁站站厅让人眼前一亮。昨日,记者走访新线看到,目前地铁设施建设已基本完成,从进站后方向引导标示、洗手间指示标,到售票机、查询机,都一应俱全。据负责地铁集团七号线一期文化墙设计的广州地铁设计院设计师王昕介绍,地铁七号线一期所在的番禺区,是岭南文化的发源地之一,岭南水乡文化浓郁,因此在设计理念上重点体现这一...
.cn/my/space-uid-789855-blogid-586735.html 发布时间:
,《格斗冒险岛》将在安卓平台正式公测。而此次在地铁上的推广,主要是配合游戏公测活动,旨在提高游戏知名度,吸引更多玩家加入《格斗冒险岛》。《格斗冒险岛》一直以来都以“经典”为主打,即红白机风格加上冒险岛模式。虽然是常见的横版卷轴类冒险游戏,但是《格斗冒险岛》在操作模式上有了很大创新,纵向移动和多段跳都让游戏设计更有立体度,可玩性也更高。除了这些让游戏有更多可能的操纵方式,Q版画风也让游戏在画面和人物设计...
.cn/my/space-uid-789855-blogid-586729.html 发布时间:
写出来的代码现在看来是要多完美有多完美,但是很可能在不久之后就是被人嘲笑的对象了。这的确让人情不自禁的沮丧,因为即使我们现在怎么努力去学习最新最棒的开发工具、设计、框架,以及开发方法,我们总是比最新的技术发展趋势慢了一步。于我而言,这是作为一个程序员最为懊恼的事情了,没有之一,所以我把这一条列为 No.1。我们能做的就是不断更新自己的技术,不过有时候,我却会觉得我就像是个搞沙雕的,不断推到重做,呵呵。...
.cn/my/space-uid-753043-blogid-586728.html 发布时间:
  TraceParts CAD 内容平台初步包含 TE Connectivity 的 10,000 种产品  全球领先的开发服务经销商e络盟与Traceparts携手合作,为 10,000 多种 TE Connectivity 产品提供 CAD 设计,供客户下载多种格式的文件。库中提供的内容包括高分辨率图片、技术文档、属性、特征和优点及相关描述,可帮助客户进行设计选用。可下载的 CAD 文件...
.cn/my/space-uid-789595-blogid-586724.html 发布时间:
首先在这个帖子下载安装开发工具MAX32630FTHR开发工具
安装完成后,在“开始”菜单中找到eclipse,如图
进入eclipse以后,导入工程,工程文件地址为:\Maxim\Firmware\MAX3263X\Applications,导入成功后如图
编译工程,下载烧录方式见附件
本文来自论坛,点击查看完整帖子内容。...
.cn/my/space-uid-784175-blogid-586721.html 发布时间:
&#61550;& & & & 高可靠性设计、工业级应用、性价比高
&#61550;& & & & 千兆网通信,单电源+5V供电
&#61550;& & & & 模块丝印只有型号,无Logo,适合OEM
&#61550;& & & &nbsp...
.cn/my/space-uid-789828-blogid-586719.html 发布时间:
&#61550;& & & & 高可靠性设计、工业级应用、性价比高
&#61550;& & & & 千兆网通信,单电源+5V供电
&#61550;& & & & 模块丝印只有型号,无Logo,适合OEM
&#61550;& & & &nbsp...
.cn/my/space-uid-789828-blogid-586718.html 发布时间:
四、聊聊共享汽车
作为前装车厂,追求卓越的用户体验和差异化是他们不断完善的一项重要目标。如何让冰冷的汽车通过创造性的想法,使用户感受到车企为用户体验设计带来的贴心与热情,车厂做了更多深入的思考,我们参与了多家前装项目的研究与应用开发。
在后装的车型适配上,行业也碰到了拦路虎,唯独速锐得创造了CAN协议开发适配2小时...
.cn/my/space-uid-663593-blogid-586712.html 发布时间:
相关结果约8,107个安全检查中...
请打开浏览器的javascript,然后刷新浏览器
< 浏览器安全检查中...
还剩 5 秒&查看: 85|回复: 0
【明德扬FPGA学习指南】至简设计法之并行FIR滤波器的实现代码
主题帖子精华
初级会员, 积分 145, 距离下一级还需 55 积分
在线时间12 小时
本帖最后由 明德扬科教 于
09:43 编辑
各位童鞋,明德扬的革命性的FPGA设计方法----至简设计法,已经正式推出了。至简设计法,是明德扬培训时重点培训的内容,设计FPGA不用再盲目设计、反复修改,而是有思路、有步骤,争取一次性就设计正确。明德扬特开此帖,定期发布一些至简设计法的资料,欢迎童鞋们学习,欢迎大伽们交流,希望能帮到大家,谢谢。
(122.92 KB, 下载次数: 39)
09:42 上传
点击文件名下载附件
温馨提示a.&&若对该项目代码有任何不理解的地方,也可以加下方QQ群进行讨论。
b. 明德扬FPGA和ASIC交流2群&&
Powered by查看: 5496|回复: 34
明德扬《至简设计法》资料汇总及问题讨论贴,定期更新
本帖最后由 明德扬科教 于
15:34 编辑
& && &各位童鞋,明德扬的革命性的FPGA设计方法----,已经正式推出了。
& && &至简设计法,是明德扬培训时重点培训的内容,设计FPGA不用再盲目设计、反复修改,而是有思路、有步骤,争取一次性就设计正确。
& && &明德扬特开此帖,定期发布一些至简设计法的资料,欢迎童鞋们学习,欢迎大伽们交流,希望能帮到大家,谢谢。
******************* 欢迎关注 ********************************
明德扬公众号:fpga520
QQ图片43.jpg (4.18 KB, 下载次数: 3)
15:49 上传
明德扬微博:明德扬
QQ图片17.png (15.97 KB, 下载次数: 3)
15:58 上传
******************* 资料分享区 ********************************
1.基于的数字时钟设计数字时钟是常见的毕业设计题目,看看如何使用至简设计法来设计数字时钟。资料:&&
2.至简设计法中的四段式状态机现在流行的状态机设计,一般可分为一段式、两段式和三段式,然而我们却发明了四段式状态机,并制定了一些规则,从此设计再不用胡思乱想,套用模板,填好关键信号就完成了,简单又不会出错!资料:&&
3.一份实现矩阵键盘的verilog代码 可直接使用明德扬分享的红外接收工程,该工程甚至至简设计法实现,已经在板子上亲测可用。需要该功能的,添加verilog文件就可以使用了。资料:
4.明德扬时序约束系列视频本视频讲述时序约束步骤:约束有很多,并且总是有先后的,先约束哪些,再约束哪些,都有讲究。按工程需要,定义好步骤,这样就能一步一步约束,逐个思考,最终完成。
时钟约束是工程一开始就进行的约束。时钟约束又可以分成三大类:输入时钟、PLL等衍生时钟和自己分步时钟。每一种都有自己的约束方法,详情请看视频介绍。
输入延时约束是约束的重点。明德扬把输入约束分成三大类:系统同步、源同步和无时钟数据,其中源同步分成SDR和DDR两场景,而DDR又可再细分成边沿对齐和中心对齐。以上每种情况,其约束语句、获取参数的方法都是不一样的。想知道具体情况,欢迎观看本节视频。
输出延时约束和输入延时一样,也是约束的重点。按照同样的思路,明德扬把输出约束分成两大类:系统同步和源同步,其中源同步分成SDR和DDR两场景,而DDR又可再细分成边沿对齐和中心对齐。以上每种情况,其约束语句、获取参数的方法都是不一样的。想知道具体情况,欢迎观看本节视频。
本节视频讲述多周期路径、异步时钟以及组合逻辑的约束,这些都是时序例外的情况。对于这些情况,处理时要特别小心,否则有可能导致遗漏错误信息的后果。
本视频再次对明德扬的时序约束方法进行总结。总之,只要我们按步骤去做,就能很准确快速地完成约束。需要强调的是,以上视频都是理论部分,虽然原理简单,但最终掌握程度还是要靠实践。我们有配套的练习,能帮助大家真正地掌握上述方法。
07 FPGA 输入延时约束的方法明德扬时序约束系列视频-输入延时的设置过程。该视频抛弃复杂的理论,按照工程实际情况,分析各种情况,只要选择正确情况然后约束即可。资料:&&
5.FPGA定位问题系列视频教程本视频是明德扬查找定位问题的总纲视频。明德扬将错误类型归结为三类:软件工具错误、语法编译错误和功能错误。本视频介绍了三种错误类型的解决方法和一般原则。其中语法错误中,我们创新性地提出了“代入法”,可以定位大部分的语法错误问题。
一气呵成把代码写出来了,开开心心来仿真,本来想着马上就要看到结果了。但想得太天真了,最有可能出现的就是波形跟你想的不一样。即使潘老师这么有经验,也经常出现这样的情形。这个时候怎么办?当然是硬着头皮去找问题啊,指望别人来告诉你是不现实的,没有多少人愿意花自己的时间给你解决定位问题。但定位问题,你的思路对了吗?很多人第一反应就是去检查代码,从头浏览一遍,这是正确的定位思维吗?本视频将告诉你正确的答案。
有同学会怀疑,用明德扬的定位方法,真能什么问题都找到吗?万一我不是设计代码问题,而是测试文件问题,也能找到?明德扬很有自信,没错!所有问题都能找到。本视频就告诉你,即使是测试文件问题,你也能通过我们的方法,顺藤摸瓜,把问题找到。
我们是否曾经遇到这样的情况:已经找到具体的某一行代码有问题,通过波形和代码一一对照,波形就是与代码不一致,这个时候甚至你都怀疑仿真软件是否出现了BUG。但是,首先相信一点,仿真软件出BUG的可能性微乎其微,本视频就通过一个具体的案例,教你如何定位这种错误。相信一个真理,FPGA是很简单的,是0就是0,是1就是1,不会有奇怪的现象的。当你发现很奇怪的时候,一般就是自己粗心了。
仿真出现高阻态(下图),是不是很常见?你是怎么定位的呢?是不是急急忙忙去求助?本视频告诉你,别急,高阻态也能用我们方法定位。
又一个常见的错误类似,输出的值也是高阻类,再次看看用明德扬方法是如何定位的,提高一下能力,以后再遇到问题就不慌了。
我明明在测试文件产生了时钟,但仿真时却发现时钟信号一直为0。你的第一反应是不是去检查代码?别忘了,我们一直强调的定位思维。任何错误都不要去检查代码来发现,而是要通过定位的思维。前者找到问题靠运气,后者找到问题那是一定的。本视频用一个案例告诉你,通过我们方法去定位到某一行,认定就是这一行出错,盯着去思考,就能发现问题。
6.基于至简设计法实现的篮球倒计时案例明德扬设计的倒计时案例工程,24秒倒计时,实现可暂停可复位,添加代码即可使用。资料:
7.基于至简设计法实现的万年历功能明德扬至简设计法实现的万年历案例,具有年月日计数器,时间设定功能,自主判断大月小月平闰年的功能,添加verilog代码即可使用。
8.基于实现的闹钟工程明德扬设计的闹钟工程案例,有24小时时钟计数器,可设定闹钟时间,可修改时钟时间,当到达闹钟设定时间时则蜂鸣器响。
9.基于实现的PWM调制verilog
明德扬分享的调制PWM驱动LED工程,利用脉冲宽度调制调制出几个不同宽度的脉冲来驱动LED灯,添加verilog文件即可使用。
10.如何在MODELSIM中仿真ISE的IP核
明德扬分享的在MODELSIM中仿真带IP核的XILINX工程方法,一步步教你怎么添加仿真库,怎么实现ISE的IP核的仿真详细步骤。
11.红外接收解码2
明德扬至简设计法设计的红外接收解码案例,能实现接收红外信号并解码,再将解码数据显示到数码管上。添加verilog代码即可使用。
12.16位2级流水灯加法器
明德扬至简设计法设计的16位2级流水灯加法器,应用流水线规则的加法器,只需要很少或者根本不需要额外的成本。
13.8位verilog加法器
明德扬至简设计法设计的8位加法器
14.明德扬至简设计法设计的IP核加法器
明德扬至简设计法设计的IP核加法器,在Quartus II 和ISE中都有加法器的IP core,可以完成无符号数和有符号数的加、减法,支持有符号数的补码、原码操作及无符号数的加、减操作,引入了最佳流水线操作,可以方便的为用户生成有效的加法器,用户可以根据自己的需要来完成配置加法器 ,本案例用Altera和Xilinx的IP核实现了26位加法器的功能。
15.明德扬至简设计法设计的8位串行乘法器
明德扬至简设计法设计的8位串行乘法器,可以用最少资源实现乘法器的效果!
16.4位流水线乘法器
明德扬至简设计法设计的4位流水线乘法器,比串行乘法器速度快很多。
17.16位复数乘法器
明德扬至简设计法设计的16位复数乘法器,比一般乘法器更加节省乘法器资源。
18.串行结构的FIR滤波器设计
使用verilog设计的FIR滤波器,该滤波器采用了串行结构,占用资源少。虽然FIR滤波器可以用IP核实现,但通过本代码,可以了解FIR滤波器的结构特点,有助于项目选择合适的参数。
19.DDS信号发生器的实现
本工程实现DDS直接数字式频率合成器,利用正弦波相位线性增加的特点,产生正弦波和余弦波。
20.BCD译码的实现_移位加3算法
21.明德扬FPGA设计模板分享(1)
模板分享1.gif (127.19 KB, 下载次数: 4)
10:40 上传
22.明德扬FPGA设计模板分享(2)--verilog常用模板
模板分享2.gif (227.79 KB, 下载次数: 4)
17:31 上传
23.明德扬FPGA模块划分方法(1)
24.设计流水灯
******************* 经典问答交流区 **************************
谢谢lZ分享
感谢楼主分享
感谢镇长分享。
FPGA也是个高薪行业。
感謝樓主分享
再次感谢楼主分享。
感谢分享,谢谢
明德扬《至简设计法》高端FPGA培训教程免费领取,请问在哪里领取?
请查看描述,点击图片到明德扬官网查看更多视频
[点击这里]下载明德扬免费视频教程&
站长推荐 /1
小镇茶馆,欢迎大伙来闲聊!
在这里你可以随便聊什么,发发感慨、谈谈人生、聊聊理想、发布合租信息、问问最近要面试公司的情况等等,只要是不涉及低俗、政治敏感话题,任何话题都可以聊!
Powered by

我要回帖

更多关于 简布寨在哪里 的文章

 

随机推荐