这个16路彩灯控制器的控制器还可以再往上加一路或者两路控制16路彩灯控制器吗?

扫二维码下载作业帮
拍照搜题,秒出答案,一键查看所有搜题记录
下载作业帮安装包
扫二维码下载作业帮
拍照搜题,秒出答案,一键查看所有搜题记录
八路彩灯控制器设计8路彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后全部熄灭,反复循环这一过程.1.用中规模计数器设计该8路彩灯控制电路.2.要求每盏灯的点亮时间介于100~120ms之间.3.要求用555定时器设计时钟脉冲,8路彩灯采用8个发光二极管代替.最好有实验电路图
扫二维码下载作业帮
拍照搜题,秒出答案,一键查看所有搜题记录
用555芯片3脚接74LS161的2脚
7404非门连161的9脚和11脚
再加上138的6脚与161的9脚连接138的15 14 13 12 11 10 9 7接LED,LED的另一端接地 138的1 2 3 分别于161的14 13 12 连接即可
555的其它脚按书上的连哦161的10 7 1接VCC138的其他脚接地
为您推荐:
其他类似问题
扫描下载二维码[图]基于Multisim的四路彩灯控制器设计_电工电气_中国百科网
[图]基于Multisim的四路彩灯控制器设计
    基于Multisim的四路彩灯设计0 引言在设计控制系统电路时,用Multisim仿真软件对控制系统电路进行设计、仿真、分析,可使工作大大降低成本、节省时间、提高设计质量。本文将以Multisim为工作平台,以四路彩灯为实例,介绍控制系统的设计与仿真的过程。1 系统的设计利用Multisim设计一个四路彩灯控制器。它要求系统启动后自动从初始状态按规定程序完成3个节拍的循环演示。第一节拍:四路彩灯从左向右 逐次渐亮,灯亮时间1s,共用4s;第二节拍:四路彩灯从右向左逐次渐灭。共需4s;第三节拍:四路彩灯同时亮0.5s后,同时变暗,进行4次,也需 4s。根据系统要求,设计系统硬件框图如图l所示。其中信号发生器采用秒脉冲发生器。用以提供频率为1Hz的时钟信号;四进制分频器将1Hz的时钟信号四分频,产生0.25Hz(即4S)的时钟 信号;三进制节拍控制器产生3个节拍循环的控制信号;节拍程序执行器完成在每个节拍下的系统动作,即数据的左移、右移、清零和送数功能。可由双向移位寄存 器 74LS194完成;灯光电路完成系统循环演示,这里采用LED模拟。1.1 信号发生器信号发生器是由555接成多谐振荡器产生1Hz的脉冲信号。为了简化电路设计,选用1Hz的脉冲信号源代替信号发生器。1.2 四进制分频器分频器可由各种类型的四进制计数器构成。在此,采用74LS74N中的D触发器,连接成图2所示的四进制异步减法计数器。图3为四进制异步减法计数器的波形。1.3 三进制节拍控制器此系统有3个不同的工作节拍,是由状态(Q1、Q0)的三种编码(10、01、11)表示的。选用74LS74N中的D触发器和74LS00D中的与非门构成图4所示的三进制计数器。1.4 节拍程序控制器双相移位寄存器是74LSl94,是产生移动灯光信号的核心器件。图5是74LS194的逻辑图和功能表。该寄存器由4个RS触发器及它们的输 入控制电路组成。具有并行寄存、左移寄存、右移寄存和保持四种工作模式。*****为清零端,低电平有效;CLK为上升沿触发,SL、SR分别为左移和右 移串行输入端;SO、S1为两个控制输入端,它们的状态组合可以完成保持、右移、左移、并行输入四种控制功能。当S1=0,S0=0时电路保持原来的状 态:当S1=0,SO=1时,数据从右移输入端SR送入寄存器;当s1=1,S0=0时,数据从左移输入端SL送入寄存器;当S1=1,S0=1 时,数据从DCBA并行输入端预置数。本设计利用74LS194寄存器来控制灯进行四个节拍的循环工作:&QD、QC、QB、QA依次为1,相应灯依次点亮;&QD、QC、QB、QA依次为0,相应灯依次熄灭;&QD、QC、QB、QA同时为1,四灯同时点亮;&QD、QC、QB、QA同时为O,四灯同时熄灭。为了完成节拍程序执行器任务,必须使S1、SO、CLK的时序与输入信号时序相配合。2 系统仿真创建仿真原理图如图6所示。2.1 仿真调试Multisim仿真软件对电路的调试非常方便,可以随时更改元件、修改参数、测量数据、观察波形。本系统调试时不能正常工作,经示波器观察后 发现,信号有毛刺,加电阻和电容后系统正常工作;CLK经反相器反相后加载,可起到延时作用;节拍程序执行器用于完成每个节拍下的系统动作,即数据的左 移、右移、清零和送数功能,QD、QC、QB、QA直接推动X1、X2、X3、X4完成系统循环演示。3 结束语借助Multisim仿真软件展开设计,既可以验证理论计算的正确性,减少电路器件参数拼凑的麻烦,也简化了反复实验的过程;还可以降低实验成本,大大缩短研制周期。实践证明,本设计达到了四路彩灯控制的目的。
收录时间:日 23:34:26 来源:电子产品世界 作者:匿名
上一篇: &(&&)
创建分享人
喜欢此文章的还喜欢
Copyright by ;All rights reserved. 联系:QQ:基于VHDL的16路可调速彩灯控制器设计
> 基于VHDL的16路可调速彩灯控制器设计
基于VHDL的16路可调速彩灯控制器设计
0 引言 近年来,FPGA/CPLD发展迅速,随着集成电路制造工艺的不断进步,高性价比的FPGA/CPLD器件推陈出新,使FPGA/CPLD成为当今硬件的重要途径,与传统电路方法相比,FPGA/CPLD具有功能强大、开发周期短、投资少,便于追踪市场变化及时修改产品以及开发工具智能化等特点。在诸多FPGA/CPLD的设计语言中,语言作为一种主流的硬件描述语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性,并在语言易读性和层次化、结构化设计方面,表现出了强大的生命力和应用潜力。 QuartusⅡ是Altera公司在21世纪初推出的FPGA/CPLD集成开发环境,是Altera公司前一代FPGA/CPLD集成开发环境Max+PlusⅡ的更新换代产品,其界面友好,使用便捷,功能强大,为设计者提供了一种与结构无关的设计环境,使设计者能方便的进行设计输入、快速处理和器件编程。 本文在QuartusⅡ开发环境下,用语言设计了一种可用于控制16路,具有4种变换模式,且变换速度可调的。1 16彩灯控制嚣设计思路 16调逮彩灯根据功能可分为3个部分,如图1所示。其中,8 Hz分频部分用于对频率为10 MHz的时钟信号进行分频,获得频率为8 Hz的时钟信号CLK8。CLK8作为速度控制部分的基准时钟,通过计数分频方式又可获得频率分别为4 Hz,2 Hz和1 Hz的时钟信号,然后由信号选择其中之一作为彩灯时钟信号CLKQ,CLKQ即为彩灯控制部分的基准时钟,用于决定彩灯变换的速度,由此实现信号SPD对彩灯变换速度的控制,使彩灯可调速。本文引用地址: 彩灯控制部分通过输出1个16位二进制数(即彩灯输出信号Q)来控制16个彩灯,每一位二进制数对应1个彩灯的开关,当该位数字为&1&时灯亮,该位数字为&O&时灯灭。彩灯的变换共设置4种模式: sO模式:只亮1个灯,从最左端逐个移动到最右端,即输出信号Q从第15位开始将1个&1&依次移动到第0位; s1模式:只亮1个灯,从最右端逐个移动到最左端,即输出信号Q从第0位开始将1个&1&依次移动到第15位; s2模式:亮2个灯,同时从左右两端向中间移动,即输出信号Q从第15位开始将1个&1&依次移动到第8位,同时从第O位开始将1个&1&依次移动到第7位; s3模式:亮2个灯,同时从中间向左右两端移动,即输出信号Q从第8位开始将1个&1&依次移动到第15位,同时从第7位开始将1个&1&依次移动到第0位。 四种模式依次循环,若复位信号RST输入为高电平,则循环中断,输出信号Q置零,彩灯全灭,RST恢复为低电平后,再次从sO模式开始循环。2 16调速彩灯的实现 本文所设计的16路可调速彩灯控制器,其电路符号如图2所示,其中clk为10 MHz时钟信号输入端,rst为复位控制端,spd为调速信号输入端,q为彩灯控制信号输出端。
分享给小伙伴们:
我来说两句……
最新技术贴
微信公众号二
微信公众号一基于VHDL语言的十六路彩灯控制器的设计_文库下载
1亿文档 免费下载
当前位置: &
& 基于VHDL语言的十六路彩灯控制器的设计
基于VHDL语言的十六路彩灯控制器的设计
第28卷第3期
Vo.l28,No.32008年6月
JournalofXianningUniversity
Jun.2008文章编号:08)03-0055-03
基于VHDL语言的十六路彩灯控制器的设计
刘晓静,王海燕
(湖北工业大学电气与电子工程学院,湖北武汉430068)
要:应用VHDL语言对十六路彩灯控制器进行硬件电路描述,在MAX+PLUS
环境下通过了编译、仿真、编程、调试,实现了彩灯控制器的设计.关键词:MAX+PLUSVHDL;彩灯控制器中图分类号:TN39
文献标识码:A
VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)即超高速集成电路硬件描述语言是20世纪70年代和80年代初由美国国防部为其超高速集成电路VHSIC计划提出的,支持硬件的设计、综合、验证和测试,主要用于
描述数字系统的行为、结构、功能和接口.
每当夜幕降临,街上的彩灯便发出形态各异、色彩斑斓的耀眼光芒,尤其是节假日,主要干道更是彩灯齐放,烘托出浓厚的节日气氛.现代舞台上彩灯的闪烁越发引人注目,更容易使人陶醉在欢乐的海洋中.彩灯装置多种多样
彩灯控制器组成原理图
系统的工作原理如下:时序控制电路SXKZ根据输入信号CLK_IN,CLR,CHOSE_KEY产生符合一定要求的、供显示控制电路XSKZ使用的控制时钟信号,而显示控制电路XSKZ则根据时序控制电路SXKZ输入的控制时钟信号,输出6中花型循环变化的、控制十六路彩灯工作的控制信号,这些控制信号加上驱动电路一起控制彩灯工作.2
主要VHDL源程序
(1)时序控制电路的VHDL源程序 SXKZ.VHDLIBRARYIEEE;
USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSXKZIS
PORT(CHOSE_KEY:INSTD_LOGIC;
CLK_IN:INSTD_LOGIC;CLR:INSTD_LOGIC;
CLK:OUTSTD_LOGIC);ENDENTITYSXKZ;ARCHITECTUREARTOFSXKZIS
SIGNALCLLK:STD_LOGIC;
PROCESS(CLK_IN,CLR,CHOSE_KEY)IS
,本文在设计中
采用EDA技术,应用目前广泛应用的VHDL硬件
电路描述语言,实现十六路彩灯控制器的设计,利用MAXPLUS
集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用.
系统设计方案
本文设计一个十六路彩灯控制器,六种花型循环变化,有清零开关,并且可以选择快慢两种节拍.根据系统设计要求可知,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED[15..0],分别用于控制十六路彩灯.据此,我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ,整个系统的组成原理图如图1所示.
Word文档免费下载:(下载1-3页,共3页)
基于VHDL 语言的十六路彩灯控制器设计 第 1 页共 25 页 1 引言 VHDL(Very Higll Speed Integrated Circuit Hardware Description Language)即超高速 集成电路硬件...为了使彩灯变得更加绚丽多彩,这里在 Quartus Ⅱ 开发环境下,用 VHDL 语言设计了一种可用于控制 16 路彩灯,具有 4 种彩灯变换模式, 且变换速度可调...二、课程设计的题目及内容题目:用 VHDL 语言设计十六路彩灯控制器 内容:1.设计一个多路彩灯控制器,十六种彩灯能循环变化,有清零开关,可以 变化彩灯闪动频率即是...多路彩灯控制器 27页 免费 基于VHDL语言的彩灯控制器 35页 2财富值 基于状态机的8路彩灯VHDL设... 4页 免费 十六路彩灯控制器的设计与... 16页 5财富值...ELECTRONIC TECHNOLOGY 应用设计 基于 VHDL 实现的十六路彩灯 控制系统吕晓兰 随着科学技术的发展以及人 民生活水平的提高, 在现代生活中,彩灯作为一种装饰,既可以...用VHDL设计十六路彩灯控... 3页 1下载券 基于VHDL语言的十六路彩... 25...设计要求设计一个多路彩灯控制器,十六种彩灯能循环变化,有清零开关,可以变化彩灯...28 唐胜艳 基于 VHDL 语言的彩灯控制器设计 第 23 页共 29 页 基于 VHDL 语言的彩灯控制器学生姓名: 指导老师: 学生姓名:*** 指导老师:*** 摘要 本课题...“rrECHMC 基于VHDL多路彩灯控制器的设计胡刚毅.张文彬(重庆邮电大学移通学院。...实现的十六路彩灯控制系统[期刊论文]-信息技术 .齐京礼 VHDL语言在...基于VHDL语言的十六路彩... 25页 2下载券喜欢此文档的还喜欢 多路彩灯控制...北京理工大学珠海学院 课程设计 题目: 彩灯控制器的设计 姓学专班学 名: 院:...基于VHDL 彩灯控制器的设计物理与电子工程学院 陈辉摘要:本文介绍了以 VHDL 为基础的彩灯控制系统的设计,实现了多种花型的循 环变化,并且可以对彩灯变换频率进行...页面已拦截
无锡网警提示您:
该网站已被大量用户举报,且存在未经证实的信息,可能会通过各种手段来盗取您的账号或骗取您的财产。

我要回帖

更多关于 4路彩灯控制器 的文章

 

随机推荐