A/A/D转换器器转换结束完成后,转换结果的读出通常有三种方式

模数转换器是连接模拟和数字世堺的一个重要接口A/A/D转换器器将现实世界的模拟信号变换成数字位流以进行处理、传输及其他操作。

A/A/D转换器器的选择是至关重要的所选擇的A/A/D转换器器应能确保模拟信号在数字位流中被准确地表示,并提供一个具有任何必需的数字信号处理功能的平滑接口这一点很重要。

目前的高速A/A/D转换器器已被应用于各种仪表、成像以及通信领域中对用户而言,所有这些应用都有着相似的要求即以较低的价格实现更高的性能。

在选择高速A/A/D转换器器时设计师必须考虑下面几个因素:

对终端系统要求的清晰了解将简化A/A/D转换器器的选择过程。在某些场合它可以把所需考虑的选择参数限制为屈指可数的几个。例如很多超声波应用采用的是每个通道需要一个A/D的数字光束成形系统。对于一個具有多达256个通道的系统而言具有多通道和低功耗的A/A/D转换器器是一个合适的选择。

对于8进制A/A/D转换器器来说超声波应用是主要的终端应鼡。位于A/D之后的DSP或ASIC所使用的电源电压也是必需加以考虑的越来越多的高速A/D将采用3V、2.5V和1.8V的工作电源。价格是始终需要考虑的因素如今的轉换器设计师正在制作性价比更为优越的A/D。

目前的高速A/D最初是按速度和分辨率进行分类的转换器的速度是指A/D能够进行转换的取样速率或烸秒的取样数量。对于高速A/D来说速度以百万取样每秒(Msps)为计量单位。

分辨率是指转换器能够复制的位数精度:分辨率越高则结果越精确。分辨率以位来计量目前市场上的高速A/D的分辨率为8~16位,速度为2~4Gsps速度和分辨率始终是一对矛盾。分辨率的增加通常会导致可实现速喥的降低

如今的A/D设计师拥有更快的处理方法和更多的架构以便从中选择有助于解决速度和分辨率这一对矛盾的转换器:目前已有16位 20 Msps、10位 300 Msps囷8位 1Gsps的A/D。高速A/D的常用架构有闪存型(flash)、半闪存型(semi-flash)、SAR型和流水线型四种

SAR型 A/D通常具有10~16位的分辨率。SAR的架构基于一个比较器若要获嘚n位的分辨率,逐次逼近转换器就必须执行n次比较器操作并把每一次的结果都存储在寄存器中。一个12位转换器需要12个时钟周期来完成一佽转换这种转换器的优点是硅片尺寸小、功耗低且精度高。缺点是取样速度慢输入带宽低。

闪存型A/D的分辨率被限制为8位闪存型A/D的架構基于比较器组,总共有2n-1个比较器一个8位A/D需要256个比较器。闪存型A/D可并行执行多个转换因此能达到非常高的速度。闪存型A/D的优点是高输叺带宽和非常高的速度(达到1~4Gsps)缺点是功耗大、输入电容大且分辨率低。

流水线型A/D可提供12~16位分辨率流水线型A/D由无数个连续的级组荿,每一级都包括一个跟踪/保持(T/H)电路、一个低分辨率A/D和D/A以及一个包含用于提供增益的级间放大器的加法电路流水线型A/D的优点在于功耗低,取样速率能达到100~300Msps缺点是这种A/D要求50%的占空因数以及最小的时钟频率。

一旦确定了合适的速度/分辨率组合设计师仍然能够从市场仩的几百种A/D中选出最合适的一个。对终端应用更为深入的了解将揭示对附加性能的要求用于评定A/D的最常用性能参数如下:

● 信号与噪声加失真之和之比(SINAD)

● 无寄生动态范围(SFDR)

● 差分线性误差(DNL或DLE)

● 积分线性误差(INL或ILE)

● 有效位数(ENOB)

医学成像应用通常要求取样速率高于40Msps的10~12位A/D。高端应用可能要求更高的分辨率:14~16位A/D的性能对于图像质量是至关重要的。对于DBF超声波应用而言其目标是以最小的功耗囷最低的成本提供最佳的图像质量。

ENOB是用于评价图像质量的一个关键参数对于一个10位转换器而言,ENOB越接近10图像的再现质量越好。关注嘚频率通常在10~20MHz之间观察A/D的ENOB与频率的关系曲线(见图1),理想的情况是曲线在所关注的带宽内保持平坦

如果未提供曲线,则可根据SINAD与頻率的关系曲线以及下面的公式推导出ENOB与频率的关系:6.02n + 1.76 =

数据采集应用需要取样速率高于20Msps的14~16位A/D一般而言,仪表应用采用了品种更加繁多嘚数据转换器转换器的选择对终端应用的依存程度很高。

例如取样示波器对电压输入进行取样并绘出一幅输出波形。在这种情况下8~10位的分辨率便足够了,但是需要更高的速度(>20Msps)以便能以更快的速度进行取样。为精确地显示电压精度、偏移增益和线性度也是關键因素。

通信应用需要取样速率高于80Msps的12~14位A/DA/D对复杂的波形进行数字化,这样利用一个DSP或ADIC就能执行解调操作。通常采用两个A/D对正交信號进行取样以抽取用于处理的I和Q信号分量。

在基带取样应用中转换器的动态性能并不重要,这是因为被抽样的是低频和带限信号由於信号分量是直流,因此诸如增益和偏移等技术参数是重要的例如,如果基带转换器具有较大的直流偏差这将表现为直接叠加在有用信号上的未调制载波。如果信号足够大它将完全阻断所需的载波。

A/D的INL和DNL性能也会限制接收机的性能通常情况下,DNL被认为是产生A/D量化噪聲的根源之一但是,在很小的信号电平(位于或接近接收机的基准信号灵敏度)下DNL误差会在A/D中导致视在增益误差,从而引发高达6dB的误差基带A/D可以是低成本、低功耗和低取样速率的器件。

在IF取样应用中所有的RF信号都被转换成较低的频率以便于检波。大多数2G、2.5G和3G应用的IF頻率均介于150~250MHz之间A/D必须具有较快的时钟速率和非常宽的输入带宽。

SNR和SFDR也是至关重要的规格WCDMA应用采用一个多载波平台以同时对几百个信號进行数字化。重要的是转换器不能产生干扰有用信号的寄生信号这些寄生信号可能表现为谐波或交调分量,它们将导致接收机性能的劣化


下载百度知道APP,抢鲜体验

使用百度知道APP立即抢鲜体验。你的手机镜头里或许有别人想知道的答案

  • 模数转换器即A/A/D转换器器或简称ADC,通常是指一个将模拟信号转变为数字信号的电子元件通常的模数转换器是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义仅仅表示一个相对大小。故任何一个模数转换器都需要一个参考模拟量作为转换的标准比较常见的参考标准為最大的可转换信号大小。而输出的数字量则表示输入信号相对于参考信号的大小 模拟数字转换器的分辨率是指,对于允许范围内的模擬信号它能输出离散数字信号值的个数。这些信号值通常用二进制数来存储因此分辨率经常用比特作为单位,且这些离散值的个数是2嘚幂指数例如,一个具有8位分辨率的模拟数字转换器可以将模拟信号编码成256个不同的离散值(因为2^8=256)从0到255(即无符号整数)或从-128到127(即带符号整数),至于使用哪一种则取决于具体的应用。 举例说明: 例1:对于一个2位的电压模数转换器如果将参考设为1V,那么输出的信号有00、01、10、114种编码,分别代表输入电压在0V-/cust/LinearTech/LTC1603//sc03175)TI 负责过采样数据转换器产品的战略市场经理 Rich Wegner 说:“在与仪器设计人员的交流过程中我们發现,为了增强整体的系统性能他们需要转换器在能保持高精度、低速率设计的同时,还能提供较高的转换速率ADS1255 与 ADS1256 经过精心设计,可提供无以伦比的噪声性能、速度与功能组合使用户在加大带宽的情况下能够获得更高的测量准确度,从而更好地满足设计师的高要求”Wegner 还补充说:“除具有高灵活性与高转换速率外,客户只需对程序稍作改动即可重新配置 ADS1256 设计以满足多个应用程序对速度与精度的要求。”ADS1255 与 ADS1256 可提供高达 23比特的无噪声精度、数据速率高达 30k 次采样/秒 (kSPS) 、+/-0.0010% 非线性特性(最大值)以及众多的板上外设(输入多路复用器、输入缓冲器、可编程增益放大器)这两款转换器均由四阶Δ-Σ 调制器再加一个可编程数字滤波器组成。该款灵活的输入多路复用器可处理差动或單端信号并包括可验证连接至输入端外部传感器的完整性的电路。该输入还包括一个可提供极高输入阻抗的限幅自稳缓冲器以及一个增益介于 1 至 64 的低噪声可编程增益放大器 (PGA)可编程滤波器使用户能够在精度和数据速率之间形成最佳组合。当测量多路复用的输入时这些器件可以在通道之间快速形成循环,而不会造成数据丢失在低功率待机模式下,可以执行单触发转换以节约能量 ADS1255 支持一个差动或两个单端输入,并具有两个通用数字I/OADS1256 支持四个差动或八个单端输入,并具有四个通用数字 I/O通过符合 SPI? 规范的串行接口可处理通信。

  • Semiconductor以使其針对物联网(IoT)市场的嵌入式产品结构更趋完整。RS将在欧洲、中东和非洲(EMEA)以及亚太地区对这些模拟IC产品提供库存年和物流服务 Silicon Labs收购的Touchstone模拟产品包括运算放大器、模数转换器、比较器、电流检测放大器、电源管理IC、计时器IC、电压检测器和基准电压源,适用于广泛的IoT、工业控制、消费电子及仪表应用这些模拟产品目前均可以工业标准货盘的形式,通过在线和印刷目录等多种RS渠道加以采购 除这些核心模拟IC产品外,Silicon Labs还提供一系列简便且价格合理的评估板可减少设计工程师采用Touchstone产品进行开发时的时间。这些评估板也可从RS处购买 “对于模拟设计师洏言,成本、性能和快速的可用性都是关键考虑因素”RS半导体业务全球总监Jonathan Boxall表示。“在近期收购了Touchstone资产后Silicon Labs现可提供一系列广泛的低成夲、高性能模拟器件现货,能够帮助工程师更快地达到其设计目的” “RS以其强大的半导体系列板卡而备受推崇,并凭借丰富的采购经验為全球客户提供优质服务”Silicon Labs全球渠道销售副总裁Phil DeMarie表示。“通过与RS这家主要经销商结盟我们将有更多机会来进一步拓展自身的模拟IC系列產品,同时给予工程师更多的选择、更轻松的采购此外还可通过DesignSpark工程社区,为工程师提供丰富的在线支持”

  • 作为一个电子硬件工程师,怎么不能懂DSP或者我们中有一些同学对DSP的理解还不是很多,今天就让我们给大家介绍一个DSP的入门芯片来自TI的TMS320F28335。相信看过了这一系列的內容大家会对DSP有初步的了解。TMS320F28335简介:TMS320F28335采用176引脚LQFP四边形封装其功能结构参见参考文献。其主要性能如下:高性能的静态CMOS技术指令周期為6.67 ns,主频达150 MHz;高性能的32位CPU单精度浮点运算单元(FPU),采用哈佛流水线结构能够快速执行中断响应,并具有统一的内存管理模式可鼡C/C++语言实现复杂的数学算法;6通道的DMA控制器;片上256 Kxl6的Flash存储器,34 Kxl6的SARAM存储器.1 Kx16 OTPROM和8 Kxl6的Boot ROM其中Flash,OTPROM16 Kxl6的SARAM均受密码保护;控制时钟系统具有片上振荡器,看门狗模块支持动态PLL调节,内部可编程锁相环通过软件设置相应寄存器的值改变CPU的输入时钟频率;8个外部中断,相对TMS320F281X系列的DSP无專门的中断引脚。GPI00~GPI063连接到该中断GPI00一GPI031连接到XINTl,XINT2及XNMI外部中断GPl032~GPI063连接到XINT3一XINT7外部中断;支持58个外设中断的外设中断扩展控制器(PIE),管理片上外設和外部引脚引起的中断请求;增强型的外设模块:18个PWM输出包含6个高分辨率脉宽调制模块(HRPWM)、6个事件捕获输入,2通道的正交调制模块(QEP);3个32位的定时器定时器0和定时器1用作一般的定时器,定时器0接到PIE模块定时器1接到中断INTl3;定时器2用于DSP/BIOS的片上实时系统,连接到中斷INTl4如果系统不使用DSP/BIOS,定时器2可用于一般定时器;串行外设为2通道CAN模块、3通道SCI模块、2个McBSP(多通道缓冲串行接口)模块、1个SPI模块、1个I2C主从兼容的串行总线接口模块;12位的A/A/D转换器器具有16个转换通道、2个采样保持器、内外部参考电压转换速度为80 ns,同时支持多通道转换;88个可編程的复用GPIO引脚;低功耗模式;1.9 V内核3.3 V I/O供电;符合IEEEll49.1标准的片内扫描仿真接口(JTAG);TMS320F28335的存储器映射需注意以下几点:片上外设寄存器块0~3只能用于数据存储区,用户不能在该存储区内写入程序OTP ROM区(0x38 03FF)为只读空间,存储A/A/D转换器器的校准程序用户不能对此空间写入程序。即使不应用eCAN模块也应使能时钟模块,将为eCAN分配的RAM空间用作一般RAM如果设置安全代码,存储器区域Ox33FF80~0x33FFF5需全部写入数据0x0000而不能用于存储程序或数据。反之0x33FF80~Ox33FEF可以存储数据或程序,其中0x33FFF0~Ox33FFF5只能存储数据仿真工具和开发环境:TMS320F28335开发工具有:标准的优化C/C++编译/汇编/连接器,CCS集荿开发环境评估板和XDS510仿真器。其中CCS是一个界面友好功能完善的集成的开发平台,具有编辑、汇编、编译、软硬件仿真调试功能TMS320F28335 的ADC:TMS320F28335仩有16通道、12位的模数转换器ADC。他可以被配置为两个独立的8通道输入模式也可以通过配置AdcRegs.ADCTRL1.bit.SEQ_CASC=1,将其设置为一个16通道的级联输入模式输入的方式可以通过配置 AdcRegs.ADCTRL1.bit.ACQ_PS=1,将其设置为顺序采集即从低通道开始到高通道结束。 值得注意的是片上ADC的输入电压范围为0--3V一旦超过3V,片上的ADC模块將会被烧掉TI上的DATASHEET介绍其ADC的精度可达到12位,实际上达到不了经测试,我们估计最好的时候可以达到11位就不错了下面我们来简单介绍一丅ADC模块的原理。其数字值由下面公司来计算其中公式中的3为片内参考电压Digital Value=4096*(Input Analog 的时钟介绍:TMS320F28335上有一个基于PLL电路的片上时钟模块,为CPU及外设提供时钟有两种方式:一种是用外部的时钟源将其连接到X1引脚上或者XCLKIN引脚上,X2接地;另一种是使用振荡器产生时钟用30MHz的晶体和两个20PF的電容组成的电路分别连接到X1和X2引脚上,XCLKIN引脚接地我们常用第二种来产生时钟。此时钟将通过一个内部PLL锁相环电路进行倍频。由于F28335的最夶工作频率是150M所以倍频值最大是5。其中倍频值由PLLCR的低四位和PLLSTS的第7、8位来决定其详细的倍频值可以参照TMS320F28335的Datasheet。下面是F28335的时钟设置:void 的外部Φ断总结:在这里我们要十分清楚DSP的中断系统C28XX一共有16个中断源,其中有2个不可屏蔽的中断RESET和NMI、定时器1和定时器2分别使用中断13和14这样还囿12个中断都直接连接到外设中断扩展模块PIE上。说的简单一点就是PIE通过12根线与28335核的12个中断线相连而PIE的另外一侧有12*8根线分别连接到外设,如AD、SPI、EXINT等等这样PIE共管理12*8=96个外部中断。这12组大中断由28335核的中断寄存器IER来控制即IER确定每个中断到底属于哪一组大中断(如IER |= M_INT12;说明我们要用第12组嘚中断,但是第12组里面的什么中断CPU并不知道需要再由PIEIER确定 )接下来再由PIE模块中的寄存器PIEIER中的低8确定该中断是这一组的第几个中断,这些配置都要告诉CPU(我们不难想象到PIEIER共有12总即从PIEIER1-PIEIER12)另外,PIE模块还有中断标志寄存器PIEIFR同样它的低8位是来自外部中断的8个标志位,同样CPU的IFR寄存器是中断组的标志寄存器由此看来,CPU的所有中断寄存器控制12组的中断PIE的所有中断寄存器控制每组内8个的中断。除此之外我们用到哪┅个外部中断,相应的还有外部中断的寄存器需要注意的就是外部中断的标志要自己通过软件来清零。而PIE和CPU的中断标志寄存器由硬件来清零EALLOW; DBGM也就是说,12组中的每个中断都要完成上面的相同配置剩下的才是去配置自己的中断。如我们提到的EXINT即外面来个低电平我们就进叺中断,完成我们的程序在这里要介绍一下,DSP的GPIO口都可以配置为外部中断口其配置方法如下:GpioCtrlRegs.GPBMUX2.bit.GPIO54 = 0; 1;注意一点就是外部中断1和2只能对GPIO0—GPIO31配置;外部中断3和4、5、6、7只对GPIO32—GPIO63配置。基于TMS320F28335信号处理板的设计与实现硬件系统设计1.1 方案概述该系统主要功能是DSC通过ADC采样芯片对12路模拟信号进荇同时采样在DSC中进行数据处理后通过异步串行收发器上传到上位机。同时上位机也可以通过异步收发器向DSC发送预先制定的命令,来控淛信号处理板的工作模式和状态按照功能要求,整个硬件电路可分为3部分:电源模块、数字部分和模拟部分其功能结构框图如图1所示。1.2 电源模块设计整个处理板的外部输入电压为5 V和±12 V分别通过对应的电压转换芯片为模拟和数字部分提供不同的电压幅值。对于数字部汾电源模块需要为DSC提供1.9 V的核电压,同时为DSC的外围和其他芯片提供3.3 V的外围电压本系统选用LT1963AES8集成芯片提供1.9 V,LT1963AEST-3.3集成芯片提供3.3 V对於模拟部分,系统要求输入ADC的信号幅值范围在±12V内所以系统分别选用LT1086IT-12和LT11 75IT把输入的±15V电压转换成±12V。1.3 数字电路设计数字部分电路主要是鉯DSC为中心的应用电路该部分主要是对ADC传送的数据进行处理、存储,同时完成DSC同上位机的通信和数据传输由图1可以看到,它包含以下几個部分外围SRAM扩展,EEPROM扩展电路SCI上位机通信接口电路。1.3.1 外围SRAM扩展考虑到TMS320F28335片内的RAM资源有限加上程序空间和数据空间RAM仅为34 kB,16位数据宽度从而需要对片内的RAM进行扩展,来满足较大量程序的运行本系统选用Cypress公司的CY7CZSXE集成芯片,利用TMS320F28335提供的XINTF接口完成片外RAM的扩展XINTF是TMS320F28335所提供的一個非复用异步总线,用来完成外部异步器件的扩展XINTF可以映射外设到3个固定的内存映射区域,当外部资源挂接到某个区域时则需要通过XINTF嘚一个片选信号来进行外部资源的选定。CY7CZSXE是一个CMOS的静态RAM存储器其容量大小为64 kB,16位数据宽度图2是外围SRAM扩展电路连接图。图2 外围SRAM扩展电路連接图如图2所示本系统选用ZONE7区域作为RAM的外围扩展。DSC通过其XZCS7管脚向片外SRAM发送片选信号WE信号用来控制DSC对片外SRAM的读写,当DSC的XWEo管脚为低电平則DSC对片外RAM进行读写操作;XWEo为高电平,同时DSC的XRD管脚为低电平则为读操作。1.3.2 EEPROM扩展考虑到系统在加电后需要对一些设备的状态进行一些初始化,而这些初始化的数据在设备运转时又需要不断改变因此,在设备运转过程中实时将数据加以保存,以至于设备断电后数据依舊存在本系统利用TMS320F28335的SPI接口外扩了一个EEPROM保存设备运转时实时获取的初始化数据。本系统选用Atmel公司的AT25160集成芯片该芯片的容量为2 kB,其宽度为8位数据宽度模块电路如图3所示。图3 EEPROM链接如图3所示DSC通过SPISTEA管脚发送片选信号选中EEPROM,通过SPICLKA管脚发送SPI传输时钟而数据的写入和读出则分别通過SPIS-IMOA和SPISOMIA管脚完成。EEPROM中的HOLD管脚用来暂停与主设备间串行数据传输WP管脚则用来进行对EEPROM的写保护,如果其为低电平则主机无法向其写人数据。系统对两管脚输入高电平保证EEPROM在读写操作过程中一直可以进行而不被中断。1.3.3 SCI上位机通信接口为了实现上位机同DSC异步的通信和数据传輸本系统利用TMS320F28335所提供的SCI接口来完成所需要求。SCI是一个2线的异步串行端口即常说的UART。其数据的收发支持全双工通信内部收发均有一个16級的FIFO来缓存数据。为了保证数据可靠SCI提供奇偶校验,数据溢出检测等操作SCI接口输出信号的电平是LV—TTL电气标准,通过RS232收发转换器加以驱動获得RS232电气标准的信号,以便上位机接收 1.4 模拟电路设计模拟部分电路主要是以ADC为中心的应用电路。其主要实现模拟信号的处理采集等工作。其中核心部分为AA/D转换器AA/D转换器部分,主要是通过数字采样来完成模拟信号到数字信号的转变本系统选用的ADC芯片是美国模擬电气公司的AD7656。模数转换芯片AD7656是高集成度、6通道6 bit逐次逼近(SAR)型ADC内含1个2.5 V基准电压和基准缓冲器。该器件的功耗比最接近的同类双极性ADC降低了60%AD7656在每通道250kb·s-1采样速率下的精度是同类产品的两倍。可以由引脚和软件选择模拟电压范围:10 V或5 V;模拟电源电压范围为4.75~5.25 V因洏大范围的工作电压使其无需电平转换等其他措施便可以直接与DSC相连;提供有并行和串行接口。可以工作在-40~85℃标准模式5 V供电,250 kb·s-1时的功耗为140 mW待机时仅为100μW。基于iCOMS技术制造的AD7656可以满足工业领域对分辨率、多通道、转换速率和功耗等方面的较高要求AD7656支持和DSC的并口、串口數据传输,通过SER/PAR SEL管脚的高低电平的选择来控制采样后数字信号的传输方式。当其为高电平则使用串行传输方式,反之则使用并行传輸方式本系统选用并行传输方式。在具体的转换过程中每片AD7656内部的6条采样通路可以分为A、B、C共3组,其中每组通路包含2路通路3组通路鈳以同时采样,也可以单独采样而每组内的两条通路同时采样。管脚CONVSTACONVSTB,CONVSTC分别用来对A、B、C采样通路进行控制当一个上升沿电平到达任┅管脚,则该管脚对应模数转换的2路通路被启动开始完成模数转换。当把三管脚连接3组采样通路,即所有的6条采样通路同时采样系統选用3组通路同时采样。当DSC连接有多片ADC时则需要通过CS片选管脚来进行ADC的选择。当采样开始BUSY管脚将从低电平变为高电平,在整个采样的過程中BUSY一直保持高电平,当采样结束BUSY则从高电平变为低电平,此时DSC就可以开始读取数据本系统则利用该管脚作为DSC外部中断源的输入管脚。当BUSY上电平由高变低则通知DSC产生中断,来进行数据的读取本系统选用2片AD7656完成12路数据采样,通过DSC的XINTF ZONE6把ADC设备映射到DSC上进行数据传输。通过地址译码和逻辑控制实现2片ADC同DSC的连接具体结构如图4所示。图4 系统DSC通ADC连图4中左下角为逻辑部分通过DSC的地址线BA17和BA18完成对ADC的选择。可鉯得到ADC0和ADC1在DSC中的地址映射为0x180000和0x1400 00而外部输入40 kHz的时钟,作为ADC的采样频率两个ADC对应DSC的同一个中断,当任意一片ADC采样完毕都会引起DSC的中断,從而进行采样数据的读取2.系统软件设计如前所述,整个信号处理板一共有12路模拟信号通路通过传感器接收到12路模拟信号。2片AD7656把12路模拟信号转换为数字信号传送给TMS320F28335在TMS320F28335中对这些采集到的数据做相应处理后通过SCI传送给上位机。同时对有必要保存的数据通过SPI接口保存到EEPROM中整個采集、通信过程由上位机发送相关的命令来加以控制。程序的流程如图5所示图5 系统软件流程图在主函数中,程序首先完成相应模块的初始化设定好CPU运行的时钟,选定好各个模块的工作模式然后读取EEPROM中的设备初始化数据,后进行入等待状态此时CPU等待ADC采样的数据,当ADC采样过程结束则进入ADC中断响应函数,在此函数中主要完成数据的读取,对于小信号通过程控放大器放大其幅值,然后存储到自定义嘚缓存区中两个串口工作方式均由上位机发送命令,从而触发SCI的串口收中断服务函数然后在中断服务函数中完成对应命令要求。系统選用串口1完成采集数据向上位机的传输串口0完成向EEPROM发送需保存的数据和一些相关操作。而SCI0和SCI1分别对应DSC外设中断的INT9.1和INT9.3外部中断XINT1对应INT1.4。程序设置两个串口的传输速率均为38.4 kb·s-1在设备工作时,由于SCI1的中断源是上位机的命令发送系统规定上位机每20ms发送一个数据接受命囹,因此SCI1的中断响应周期为td= 20 ms而由于采样率为40 kHz,因此ADC的中断服务函数响应周期为0.025 ms系统规定采集一组数据的个数为50,那么采集一组数据嘚时间即为tc=1.25 ms所以在一次SCI1中断过程中,ADC会采集16组数据考虑到串口传输的波特率为38.4kb·s-1,因此传送一个16位的数据时间为tt=0.417 ms而一组数据采集时间加上串口数据传输的时间为tc+tt=1.667 ms《  4 结束语所设计的信号处理板以TMS320F28335为核心处理器,利用AD7656完成模拟信号采样的功能硬件平台TMS320F28335片内集成了丰富的外围资源,通过驱动软件的配置和硬件系统的设计可以方便、高效地完成大量数字信号的处理和运算。AD7656的6路模拟信号采集囷16位高精度的模拟信号采样较好地完成了模拟信号的数字化转换,减少了模拟信号采样的失真实验证明,信号处理板所采集到的数字信号的误差值约为1.37 mV可达14位的采样精度。

  • 适合噪声非常低和线性度非常高的采样应用例如数字 X 射线、红外和医疗成像、测厚仪、光谱儀和流式细胞仪。这些器件在基带实现了 84dB 的信噪比 (SNR) 和 99dB 的 SFDR 性能运用良好设计的 2.1Vp-p 前端实现了很高的 AC 性能和低噪声,这也极大地降低了 ADC 驱动器電路所需的功率ADC 本身每通道消耗大约 80mW。通过将这些器件置于备用 (12mW) 或停机 (0.5mW) 模式可以进一步节省功率,从而使这些器件非常适用于手持式測试和测量应用 LTC2269 和 LTC2270 分别是单通道和双通道同时采样并行 ADC,提供全速率 或双数据速率 (DDR) / 数字输出选择具备可编程数字输出、可编程 输出电鋶和可选 输出终止。LTC2271 包括具备串行 LVDS 输出的双通道同时采样 ADC这些器件包括凌力尔特的数字输出随机函数发生器和交替位极性 (ABP) 模式,可在应鼡中最大限度地减小数字反馈 这些低功率 16 位 ADC 可为现有的 25Msps 至 125Msps、1.8V 低功率 ADC LTC2160、LTC2180 和 LTC2190 系列提供引脚兼容升级。这些器件采用紧凑的 QFN 封装设计师可受益于接口的灵活选择,以最大限度地减少引脚数目并易于进行至 的布线。这些 ADC 现已投产评估电路板和样品可在线申请,也可通过凌力爾特当地的销售办事处获得单通道 LTC2269 器件的千片批购价为每片 30.00 美元。 照片说明:最低噪声 16 位 20Msps ADC 性能概要:LTC2270 16 位、20Msps 单通道和双通道 ADC 84dB 用于配置的串荇 SPI 端口

  •   今年年初TI推出的两款模数转换器(ADC)ADS8329和ADS8330向世人展现了一个低功耗、高速和高性能的独特组合该组合使其成为诸多应用的理想选择,例如:通信、医疗仪器、自动测试设备、数据采集系统或工业过程控制等本文中,TI的ADC马达控制设计经理FrankOhnhaeuser就上述两款转换器的有关性能進行了概述并对有助于实现这些性能的关键要素作了阐述。  ADS8329和ADS8330属于同一个器件系列他们是500kSPSADS8327和ADS8328的升级延伸。所有产品均为引脚兼容并提供了一个基于逐次逼近架构(SAR)的ADC。ADS8327和ADS8329均为单通道器件而ADS8328和ADS8330为双通道器件。一个内部时钟用于对转换计时但是也可以对该转换器进荇编程,以利用串行接口的外部时钟编程和数据传送均通过一个高速串行接口来完成。图1ADS8329/30结构图  如果转换正在使用内部时钟那么外部时钟就应该被关闭。非同步时钟信号通常会引起基板失真从而得到两种选项。如果ADC以内部时钟运行那么就应该在转换之后读取数據,并且在数据传送完成以前不应触发新的转换。如果该部件通过外部时钟运行那么就可以在下一转换期间读取数据。外部时钟以两倍的转换速度运行以确保数据传送在运行转换复写(overwrite)输出数据以前完成。  通过串行接口编程可实现多种额外的功能一种是双通道产品的通道选择。这样就可拥有一个自动触发器,其在前一个转换完成以后自动将转换起始信号(CONVST)初始化为4个转换时钟周期利用链模式,數个同步采样ADC的数据可以通过一个串行接口读取您可以在产品说明书中查看到其他的特性。  该转换器系列专门优化用以实现低功耗以便具有多种功耗降低特性。在慢内部信号保持上电而快速(300ns)恢复模块被关闭的情况下得以实施一个NAP模式。我们可以将2.7V电源电压的电流消耗从5mA降低至0.25mA将5V电源电压的电流消耗从7mA降低至0.3mA。可以通过串行接口或触发CONVST信号来唤醒ADC在正常运行状态下,CONVST信号将会立即冻结输入电压并开始转换。在NAP模式下ADC首先醒来,同时数据在6个时钟周期以后自动被冻结  为了最小化开销,可将转换器置于一种AUTONAP模式在该模式下,一旦转换完成转换器就会自动地降低其电流消耗。因此CONVST信号可以被用于唤醒ADC,并开始转换在转换完成以后,ADC将再次降低其功耗  如果ADC长期保持非使用状态,那么深度睡眠(PD)功能应该被用于充分降低ADC功耗剩余的漏电流通常为4nA。图2和图3显示了NAP和PD运行中电流消耗與采样速率的关系由于存在更长的唤醒时间,因此深度睡眠运行模式应该只在低采样速率条件下才使用。对于100kSPS以上的采样速率而言NAP功能更为有效。图2在NAP模式下电流消耗与采样速率的关系图3在PD模式下,电流消耗与采样速率的关系  就节能而言我们建议关闭ADC的外部時钟。否则电流消耗可能会保持在1mA以上。ADS8329/30不同于一些有竞争力的产品因为其可以被用于较宽的电源电压范围。在2.7V到5V的范围内可以选择模拟电源电压而数字接口则可以始终在低至1.65V的电压下工作。  ADS8329/30的设计不仅是为了实现低功耗还为了实现高性能。一个内部动态误差尣许对较小调整进行校正以及转换期间的散热效果,同时在转换结束时对其进行校正该功能以及封装内的微调功能使差分线性度保持茬±0.5LSB的范围内。紧密的差分线性度还有助于达到一个较好的积分线性图4和图5显示了这种典型的线性度。图4LSB中差分非线性与1MSPS输出代码的关系图5LSB中积分非线性与1MSPS输出代码的关系  当功耗受到限制时噪声优化就变得困难了。在ADS8329/30上通过将参考缓冲器移出ADC,可以实现低噪声這就要求一个外部电容器能够对由ADC电容器阵列引起的参考突波进行补偿。如果这种电容器高至216+1那么在一个转换期间该电容器的压降会保歭在LSB的一半以下。对于ADS8329而言推荐使用22uF陶瓷电容器,以其0805尺寸和X5R质量现在开始供货。参考电压应该具有一个良好的负载抑制以便转换器输入的平均电流不会引起参考输入压降(该压降超过了LSB的一半)图6DC输入电压下4096代码的代码分布  除该参考电压以外,内部电容器也是┅个主要的噪声源动态误差校正允许较小的内部调整误差。这样就可以减少比较器带宽。这两个因素均限制了噪声因此就实现了一個DC输入电压的紧密噪声分布(如图6所示)。共计4096个采样中的4087个采样仅分布在2个代码上面  市场上有少数产品表现出更为紧密的噪声分咘,但是这些产品拥有全对称、全差动输入信号其要求具有一个复杂的输入结构。ADS8329/30提供了简单的单端输入范围因此能够使用成本更低嘚CMOS放大器,例如:OPA365  良好的线性和噪声性能还体现在ADS8329/30的AC性能上(能实现高达93dB的SNR)。这种差分非线性将影响SNR同时积分非线性会引起谐波。图7显示了一个10kHz输入频率和4096采样的FFT同时还证实具有低谐波失真。更高频率时总谐波失真(THD)取决于非线性输入开关和内部电容器。  這些非线性组件将会使THD迅速降低在图8中,这种变化得到了监控但是,相比一些颇具竞争力的产品该下降趋势不那么剧烈。在其内部使用了一种非常特殊的开关结构,以便使这些非线性开关位于一个低阻抗工作点上这就大大降低了开关的影响。图7显示一个10kHz输入信号嘚4096采样FFT  单通道ADS8329和双通道ADS8330既不是市场上最快的SAR转换器也没有提供最低的噪声性能但是它们是一种非常独特的最低功耗、高速、低噪声囷良好线性度的组合。这就使它们特别适合于那些重视低功耗和高性能的各种应用例如:手持终端设备或多通道同步采样应用等。图8总諧波失真与输入频率的关系

  • 电桥是精密测量电阻或其他模拟量的一种有效的方法本文介绍了如何实现具有较大信号输出的硅应变计与模數转换器(ADC)的接口,特别是Σ-Δ ADC当使用硅应变计时,它是一种实现压力变送器的低成本方案 硅应变计 硅应变计的优点在于高灵敏度它通過感应由应力引发的硅材料体电阻变化来检测压力。相比于金属箔或粘贴丝式应变计其输出通常要大一个数量级。这种 硅应变计的输出信号较大可以与较廉价的电子器件配套使用。但是这些小而脆器件的安装和连线非常困难,因而增加了成本限制了它们在粘贴式应變计应用中 的使用。 不过用MEMS工艺制作的硅压力传感器却克服了这些弊病。这种MEMS压力传感器采用了标准的半导体工艺和特殊的蚀刻技术這种特殊的蚀刻技术可 选择性地从晶圆的背面除去一部分硅,从而生成由坚固的硅边框包围的、数以百计的方形薄膜而在晶圆的正面,烸一个小薄膜的每个边上都植入了一个压敏电阻 用金属线把小薄片周边的四个电阻连接起来就形成一个惠斯登电桥。最后使用钻石锯從晶圆上锯下各个传感器。这时硅传感器已经初具形态,但还需要配备压力 端口和连接引线方可使用这些小传感器便宜而且相对可靠,但受温度变化影响较大而且初始偏移和灵敏度的偏差很大。 压力传感器实例 在此给出一个压力传感器的实例,其所涉及的原理适用于任哬使用类似电桥的传感器公式1给出了一个原始的压力传感器的输出模型。其中VOUT 在给定压力P下具有很宽的变化范围,不同传感器在同一溫度下或者同一传感器在不同温度下,其VOUT都 有所不同因此要提供一个一致的、有意义的输出,每个传感器都必须进行校正以补偿器件之间的差异和温度漂移。长期以来校准都是通过模拟电路进行的。然 而现代电子学的进展使得数字校准比模拟校准更具成本效益,洏且其准确性也更好此外,利用一些模拟技术“窍门”可以在不牺牲精度的前提下简化数字校 准。 VOUT=VB(PS0(1+S1(T-T0))+U0+U1(T-T0)) (1) 式中VOUT为电桥输出,VB是电桥的激励電压P是外加压力,T0是参考温度S0是T0温度下的灵敏度,S1是灵敏度的温度系数 (TCS)U0是在无压力情况下电桥在温度T0时的输出偏移量(或失衡),而U1则昰偏移量的温度系数(OTC)公式(1)使用一次多项公式来对传感器进行建模,而有些应用场合可能会用到高次多项公式、分段线性技术或者分段二佽逼近模型并为其中的系数建立一个查寻表。无论使用哪种模型数字校准时都要对VOUT、VB和T进行数字化,同时要采用某种方公式来确定全蔀系数并进行必要的计算公式(2)由公式(1)变化所得,从中可清楚地看到通过数字计算(通常由微控制器(MCU)执行)而输出精确压力值所需的信息。 (AIN5/AIN6)進行数字化这些测量值随后被传送到MCU,在那里转换成实际的压力电桥直接由电源驱动,电源同时也为ADC、电压基准源和 MCU供电电阻公式溫度检测器Rt用来测量温度,ADC内的输入复用器同时测量电桥、RTD和电源电压为确定校准系数,整个系统(或至少是RTD和电 桥)被放到恒温箱里在哆个不同温度下进行测量。测量数据通过测试系统进行处理以确定校准系数,最终的系数被下载到MCU并存储到非易失性存储器中 设计该電路时主要考虑的是动态范围和ADC的分辨率,最低要求取决于具体应用和所选的传感器和RTD的参数 在本例中,传感器的具体参数如下 系统規格 · 满量程压力:100psi · 压力分辨率:0.05psi · ADC能够接受的最小电压分辨率可根据传感器能够检测到的最小压力变化所对应的VOUT得到。极端情况为使鼡最低灵敏度的传感器在最高温度和最低供电电压下进行测量。注意公式(1)中的偏移项不影响分辨率,因为分辨率仅与压力响应有关使用公式(1)以及上述假设可得: 40℃、最大电源电压5.25V和3mV/V的偏移、-15μV/V/℃的偏移温度系数、-/℃的TCS以及 300μV/V/psi的最高灵敏度。最小信号一般都在无压力(P=0)電源电压为5.25V、-3mV/V的偏移、-40℃的温度以及OTC等于+ 15μV/V/℃的情况下出现。 再次使用公式(1)以及上述假设可得: 以满足需要由于-21~+204mV的量程与通常的ADC输入范围都不匹配,因此要么对输入信号进行电平移动和放大要么选用更高分辨率的ADC。幸运 的是当前Σ-Δ转换器的分辨率很高,具有双极性输入和内部放大器,使高分辨率ADC的使用变为现实。这些Σ-ΔADC提供了更为经济的方案而不需要增 加其他元器件。这不仅减小了电路板尺団还避免了放大和电平移位电路所引入的漂移误差。 工作于5V电源的典型Σ-Δ转换器,采用2.5V参考电压具有±2.5V的输入电压范围。为了满足峩们对于压力传感器分辨率的要求这种 ADC的 动态范围应当是:(2.5V - (- 2.5V)) /(30μV/count)=166 667,这相当于17.35位的分辨率很多ADC都能满足该要求,例如18位的MAX1400如果选用SAR ADC,则產生很大的浪费因为这是将18位转换器用于13位应用,且只产生11位的结果然而,选用18位(17位加上符号位)的Σ-Δ转换器更为现 实尽管三个最高位其实并没有使用。因为除了廉价外Σ-Δ转换器还具有高输入阻抗和很好的噪声抑制特性。 18位ADC可以用内置放大器的低分辨率转换器来玳替,例如16位的MAX1416其8倍的增益相当于将ADC转换结果向高位移了3位,从而利用 了全部的转换位并将转换需求减少到15位不过要选用无增益的高汾辨率转换器,还是有增益的低分辨率转换器就要看具体情况下的增益和转换速率下的噪声规 格。Σ-Δ转换器的有效分辨率通常受到噪声的限制。 温度测量 如果测量温度仅仅是为了对压力传感器进行补偿那么温度测量不要求十分准确,只要测量结果与温度的对应关系具囿足够的可重复性即可这样将会有更大 的灵活性和较宽松的设计要求。对于硅压力传感器有三个基本的设计要求:避免自加热,具有足够的温度分辨率保证在ADC的测量范围之内。 使最大Vt电压接近于最大压力信号有利于采用相同的ADC和内部增益来测量温度和压力本例中的朂大输入电压为+ 204mV,考虑到电阻的误差最高温度信号电压可保守地选择为+180mV。将Rt上的电压限制到+180mV也有利于避免Rt的自加热问题一旦最大 电压選定,根据在85℃ (Rt=132.8Ω)VB=5.25V的条件下产生该最大电压可以计算得到R1。R1的值可通过公式(3)进行计算公式中的Vtmax是RT上所允许的最大压降。温度分辨率等於ADC的电压分辨率除以Vt的温度敏感度公式(4)给出了温度分辨率的计算方法。(注意:本例计算的是最小电压分辨率是一种较为保守的设计。伱也可以使用实际的ADC无噪声分辨) R1= 0.07℃的温度分辨率足以满足大多数应用的要求。但是如果需要更高的分辨率,有以下几个选择:使用一個更高分辨率的ADC;将RTD换成热敏电阻或将RTD用于电桥,以便在ADC中能够使用更高的增益 注意,要得到有用的温度结果软件必须对供电电压的變化进行补偿。另外一种代替方法是将R1连接到VREF而不是VB。这样可使Vt不依赖于VB但也增加了参考电压的负载。 结论 硅压阻公式应变计比较高嘚输出幅度使其可以直接和低成本、高分辨率Σ-ΔADC接口这样避免了放大和电平移位电路带来的成本和误差。另外这种应变计的热特性囷ADC的比例特性可被用来显著降低高精度电路的复杂程度。

  • 在如今的汽车中为了提高舒适度和行车体验而设计了座椅加热、空调、导航、信息娱乐、行车安全等系统,从这些系统很容易理解在车中为各种功能供电的电子系统的好处现在我们很难想像仅仅 100 多年以前的景象,那时在汽油动力汽车中,一个电子组件都没有在世纪交替时期的汽车开始有了手摇曲柄,前灯开始用乙炔气照明也可以用铃声向行囚发出提示信息了。如今的汽车正处于彻底变成电子系统的交界点最大限度减少了机械系统的采用,正在成为人们生活中最大、最昂贵嘚“数字化工具”由于可用性和环保原因,以及提高内燃型、混合动力型和全电动型汽车行车安全的需求市场逐步减少了对汽油的依賴,这正是“数字化”转变的驱动力 随着越来越多的机械系统被电子系统取代,功耗以及怎样监视功耗变得越来越重要了准确监视电動型汽车的功耗最终会让司机心里更踏实。任何人只要驾驶了全电动型汽车都有可能担心行车距离问题,因为到达目的地之前汽车电池电量可能耗尽的问题无时不在。混合电动型汽车车主有依靠汽油动力引擎行驶回家的优势而电动型汽车只能在充电站充电,眼下充电站稀少而且需要几个小时,电池才能充好电因此连续、准确地监视每个电子子系统的功耗是很重要的。基于监视所得的信息还可以建议正在路上行驶的司机,节省电池电量以延长行驶距离断开空闲模块与电源总线的连接可以进一步节省功耗。监视子系统的电流和功率还可以揭示有关车辆长期性能的任何异常趋势,预测故障以防故障发生标出需要发送给汽车修理店的服务请求。诊断系统也可以从功率和能量监视中受益通过故障记录和无线数据访问,可以快速调试并减少修理费用和宕机时间。 监视和控制功耗的几种方法 要监视電子系统的功耗就需要连续测量电流和电压。电压可以直接用模数转换器 (ADC) 测量如果 ADC 输入范围小于所监视的电压,那么也许需要一个电阻分压器 (图 1)为了测量电流,需要在电源通路中放置一个检测电阻器再测量其压降。如图 1 所示跨导放大器将高压侧检测电压转换成电鋶输出,该电流流经增益设定电阻器以产生一个以地为基准并与负载电流成比例以及适合馈送给 ADC 的电压。为了最大限度降低功耗全标喥检测电压限制为几十毫伏。因此放大器输入失调需要低于 100?V。为了计算功率必须使用通过 ADC 数字接口访问 ADC 数据的微控制器或处理器,鉯实现电压读数和电流读数相乘要监视能耗,需要在一定时间内累计 (相加) 功率读数   图 1:测量电源轨上的输入电压和负载电流 (检测电压) 為了开关电源,一般在汽车电路中会使用机电继电器为了节省空间,会用 N 沟道和 P 沟道 MOSFET 等固态开关取代继电器从而产生所有组件都在同┅块电路板上、可以统一采用再流焊工艺组装的 PCB 设计。P 沟道 MOSFET 通过拉低其栅极电平而接通通过将栅极连接至输入电压而断开。与 N 沟道 MOSFET 相比P 沟道 MOSFET 在导通电阻相同时成本更高,而且其选择范围很窄限于较大电流值 (高于 10A) 情况。N 沟道 MOSFET 是应对大电流的最佳选择但是需要充电泵,鉯提高栅极电压使其高于输入电压。例如12V 输入需要 22V 栅极电压,即 MOSFET 栅极要高出输入 10V图 2 显示了一个电源开关电路的实现。   图 2:用 N 沟道 MOSFET 实現电源轨的接通 / 断开 常见的电源总线也需要针对短路和过载故障提供保护这类故障可能在任何板卡或模块中出现。为了实现电路断路器功能可以比较图 1 中放大器的输出和一个过流门限,以断开图 2 中的栅极驱动器这种方案取代了保险丝,因为保险丝反应速度慢、容限太寬且熔断后需要更换为了节省电路板空间,人们希望在开关、保护和监视汽车电源总线中的功率流动时采用集成式解决方案。 集成式電源控制与遥测解决方案 LTC4282 是一款可热插拔的控制器和电路断路器提供能量遥测功能和 EEPROM (图 3),凭借创新性双电流通路特色满足了大电流应鼡的需求。该控制器通过控制外部 N 沟道 MOSFET可平滑地给大容量电容器加电,从而避免出现输入电源干扰以及电流达到破坏性水平因此可确保电源在 2.9V 至 33V 范围内安全接通和断开。LTC4282 位于通往电路板电源的入口其准确度为 0.7% 的 12 位或 16 位 ADC 通过一个 I2C/SMBus 数字接口报告电路板电压、电流、功率和能耗。内部 EEPROM 为寄存器设置和故障记录数据提供非易失性存储从而可在开发过程中及现场运行时,加速调试和故障分析   图 3:具功率 / 能量遙测功能和 EEPROM 的 LTC4282 电路断路器 LTC4282 具准确度为 2% 的电流限制电路断路器,最大限度减少了过流设计这在大功率时更加重要。在出现过流情况时LTC4282 折返电流限制,以在可调超时时间内保持恒定 MOSFET 功耗定时器到了定时时间后,电路断路器断开故障模块和公用电源总线的连接空闲模块也鈳以断开与电源总线的连接以节省功率。能够以数字方式配置的电路断路器门限允许随负载变化进行动态调节方便了小电阻值检测电阻器的选择。所监视电气参数的最小值和最大值都记录下来当超过 8 位可调门限时,就发出警示信号为了防止给电路板造成灾难性损坏,這些 MOSFET 受到连续监视以发现异常情况,例如低栅极电压和漏-源短路或大的压差 SOA 共享路径 虽然 LTC4282 控制单个电源,可是它为负载电流提供了两條平行的电流限制路径采用传统单路控制器的大电流电路板使用多个并联的 MOSFET 以降低导通电阻,但是所有这些 MOSFET 都需要具有大的安全工作区 (SOA) 鉯安然承受过流故障这是因为不能假设并联的 MOSFET 在电流限制期间分担电流。另外MOSFET 的选择范围在较高的电流水平上变窄,价格走高而且 SOA 嘚水平跟不上 RDS(ON) 的下降。通过把电流分离到两条精准匹配的电流限制路径之中LTC4282 可确保两组 MOSFET 即使在过载情况下也将均分电流。[!--empirenews.page--] 对于 100A 应用每條路径的设计电流限值为 50A,因而把 SOA 要求减低了一半拓宽了 MOSFET 的选择范围,并降低了其成本这被称为一种 “匹配” 或 “并联” 配置,因为兩条路径是采用相似的 MOSFET 和检测电阻器设计的 此外,LTC4282 的双电流路径还用于使 MOSFET SOA 要求与导通电阻脱钩大的 SOA 对于启动浪涌、电流限制和输入电壓阶跃等具有巨大应力的情况是很重要的。当 MOSFET 栅极完全接通时低的导通电阻可降低正常操作期间的电压降和功率损耗。不过这些是存茬冲突的要求,因为 MOSFET SOA 通常随着导通电阻的改善而变差LTC4282 允许采用一条具有一个能处理应力情况之 MOSFET 的路径,和另一条具有低导通电阻 MOSFET 的路径这被称为一种分级起动配置。一般来说在启动、电流限制和输入电压阶跃期间应力处理路径接通,而 RDS(ON) 路径则保持关断RDS(ON) 路径在正常操莋过程中接通以旁路应力路径,为负载电流提供一条低导通电阻路径从而减少电压降和功率损耗。视启动时 MOSFET 应力大小的不同有两种分級起动配置,即低应力 (图 4) 和高应力高应力分级起动配置推荐用于 50A 以下的应用电流水平,而并联和低应力分级起动配置则推荐用于 50A 以上的應用与单路径设计相比,最低的 MOSFET 成本由低应力分级起动配置提供代价是在瞬变情况下不间断运行的能力受限,而且不能利用负载电流唍成启动并联和高应力分级起动配置可启动一个负载并提供计时周期较长的故障定时器,可在持续时间较长的过载条件和输入电压阶跃凊况下不间断地运行   图 4a:低应力分级起动配置可为 >50A 的应用提供最低的成本   图 4b:利用低应力分级起动配置实现启动:GATE1 首先接通以对输出进荇涓流充电 (具有一个 2A 的低浪涌电流水平)。GATE2 在 SOURCE (输出) 变至高于电源良好门限时接通 结论 在过去 20 年,在动力转向、ABS 刹车、便利性、行车安全、娛乐等功能的驱动下汽车中采用的电子系统一直在快速增加。随着汽车向全面互联和完全自主行驶的方向发展电子系统的增加还会加速,这增大了对珍贵的电池功率的需求仔细的功耗监视加上关闭空闲系统有望提高电池使用效率。通过提供电路板级电气数据LTC4282 电路断蕗器减轻了测量每个子系统的功率和能耗的负担,因此减轻了整个车辆功率和能耗的测量负担凭借其新颖和能够以多种方式配置的双电鋶通路,LTC4282 极大地方便了大电流千瓦级电路板的设计允许在同一设计中既提供很大的 SOA,又提供很小的导通电阻

  • 模数转换器即A/A/D转换器器,戓简称ADC通常是指一个将模拟信号转变为数字信号的电子元件。通常的模数转换器是将一个输入电压信号转换为一个输出的数字信号本攵介绍几款模数转换器芯片电路原理。 1、AD9280 AD9280器件是一款单芯片、8位、32 MSPS模数转换器(ADC)主要介绍了AD9280特性、应用范围、参考设计电路以及电路分析,帮助大家缩短设计时间 AD9280介绍: AD9280是一款单芯片、8位、32 MSPS模数转换器(ADC),采用单电源供电内置一个片内采样保持放大器和基准电压源。它采鼡多级差分流水线架构数据速率达32 MSPS,在整个工作温度范围内保证无失码 AD9280特点: 与AD876-8引脚兼容 功耗:95 mW(3 V电源) 工作电压范围:+2.7V至+5.5V AD7541器件是一款低荿本、高性能12位单芯片乘法数模转换器,主要介绍了AD7541特性、应用范围、参考设计电路以及电路分析帮助大家缩短设计时间。 AD7541介绍: AD7541A是一款低成本、高性能12位单芯片乘法数模转换器该器件采用先进的低噪声薄膜CMOS技术制造,并提供标准18引脚DIP和20引脚表贴两种封装AD7541A与业界标准器件AD7541在功能和引脚上均相兼容,并且规格和性能都有所改进此外,器件设计得到改进可确保不会发生闩锁,因此无需输出保护肖特基②极管 AD7541特点: AD7541的改进版本 AD7694器件是一款3通道、低噪声、低功耗、24位Σ-Δ型ADC,内置片内仪表放大器 主要介绍了AD7694特性、应用范围、参考设计電路以及电路分析,帮助大家缩短设计时间 AD7694介绍: AD7694是一款16位、250 kSPS、电荷再分配、逐次逼近型模数转换器(ADC),采用2.7 V至5.25 V单电源(VDD )供电该器件内置┅个极低功耗、高速、16位无失码采样ADC(B级)、一个内部转换时钟和一个SPI兼容串行接口端口,还集成了一个低噪声、宽带宽、极短孔径延迟的采樣保持电路在CNV上升沿,AD7694对IN+与IN-之间的模拟输入电压差进行采样范围从0V至REF。基准电压(REF)由外部提供最高可设置为电源电压。 AD7694特点: 16位分辨率 吞吐量:250   图5 AD7694典型应用电路 编辑点评:本文主要介绍了AD9280、AD7541和AD7694特性、应用范围、参考设计电路以及电路分析帮助大家缩短设计时间。AD9280是一款单芯片、8位、32 MSPS模数转换器(ADC)采用单电源供电,内置一个片内采样保持放大器和基准电压源AD7541A是一款低成本、高性能12位单芯片乘法数模转換器。AD7694是一款16位、250 kSPS、电荷再分配、逐次逼近型模数转换器(ADC)采用2.7 V至5.25 V单电源(VDD )供电。

  • 在前不久的一场媒体活动中ADI系统解决方案事业部总监赵軼苗(Morton)将ADI公司55年的历史概括为扮演“数字与模拟世界,或者是物理世界和数字世界的桥梁”他用一个二维坐标图更硬核的解释为“就是建竝在带宽和分辨率技术上的桥梁”。坐标图的横轴是分辨率也就是把一个模拟信号转换成一个什么样精度的数字信号,可能是一个8比特戓者16比特的信号;纵轴是带宽它的信号速度可能是从10KHz到100KHz甚至更高。“最早业界我们的起点在8比特这样的水平慢的演进到了一些更高精度囷更高速度的产品和技术,比如说我们最早提出了12比特、100KHz左右的ADC这就解决了运动控制里面的一些数字化的问题,它实现了数字化的运动控制;接下来实现了14比特的ADC我们很多超声波、X光的技术就能使能起来,实现它的数字化” Morton指出。 一座由带宽和分辨率构成的桥梁ADI不停哋拓展它的边界。 Morton分享了ADI在拓展这座“桥梁”中那些突破性的成果在电机驱动、电力计量、数字成像等领域带来的创新应用机会而他一帶而过的医疗成像应用,则在改善人类生存能力上更具非凡的意义本文尝试结合该话题讨论一些主要的现代医疗成像系统,这些系统虽嘫运用完全不同的物理原理和处理技术但都有一个共同点:采用模拟数据采集前端进行信号调理,并将原始成像数据转换到数字域这個微小的前端功能模块虽然深藏于复杂机器内部,但其性能却会对整个系统的最终图像质量产生至关重要的影响它的信号链包括一个检測元件、一个低噪声放大器(LNA)、一个滤波器和一个模数转换器(ADC)。而在医疗成像领域的电子设计中数据转换器的动态范围、分辨率、精度、線性度和噪声要求带来了最关键的要求。 数字射线照相对模数转换器的性能要求 数字射线照相(DR)的物理原理与所有传统的吸收式射线照相系統相同探测器将X射线光子转换为与入射粒子能量成正比的电荷。生成的电信号经放大并转换到数字域中以产生X射线图像的精确数字表礻。其图像质量取决于空间与强度维度中的信号采样在空间维度中,最小采样速率由探测器的像素矩阵大小和实时荧光透视成像的更新速率定义具有数百万像素和典型更新速率高达25 fps至30 fps的平板探测器采用通道多路复用和多个ADC,采样速率高达数十MSPS可在不牺牲精度的情况下滿足最短转换时间要求。 在强度维度中ADC的数字输出信号代表在特定曝光时间内给定像素所吸收的X射线光子的积分量。该值被分组为由ADC的位深度定义的离散电平的有限数值另一个重要参数是信噪比(SNR),它定义了系统忠实地表示成像人体的解剖学特征的内在能力数字X射线系統采用14位至18位ADC,SNR水平范围为70 dB至100 dB具体取决于成像系统的类型及其要求。有各种各样的离散ADC和集成模拟前端可使各种类型的DR成像系统具有哽高的动态范围、更精细的分辨率、更高的检测效率和更低的噪声。 数字X射线探测器信号链中ADC性能至关重要 24位以上高分辨模数转换器是为CT掃描仪必备件 计算机断层扫描(CT)同样采用电离辐射技术其中CT探测器是整个系统架构的核心组件它由多个模块组成,如图所示每个模块将叺射的X射线转换为电信号,并路由到多通道模拟数据采集系统(ADAS)ADAS必须具有极低的噪声性能,以保持良好的空间分辨率降低X射线剂量,并具有极低的电流输出以实现高动态范围性能为了避免图像伪影并确保良好的对比度,转换器前端必须具有出色的线性度性能并可提供低功耗工作模式以降低热敏型探测器的冷却要求。 其中的ADC必须具有至少24位的高分辨率才能获得更优质、更清晰的图像同时还要具有快速采样速率(短至100 μs),以便数字化探测器读数ADC采样速率还必须支持多路复用,这样就可以使用较少数量的转换器并且减小整个系统的尺寸囷功耗 CT探测器信号链ADC必须具有至少24位的高分辨率才能获得更优质、更清晰的图像。 正电子发射断层扫描 正电子发射断层扫描(PET)涉及由引入人體的放射性核素产生的电离辐射它发射的正电子与组织中的电子碰撞,产生辐射方向大体相反的伽马射线对PET探测器由一系列闪烁晶体囷光电倍增管(PMT)组成,它们将伽马射线转换为电流继而转换为电压,然后通过可变增益放大器(VGA)放大并补偿幅度变化然后将产生的信号在ADC囷比较器路径之间分离,以提供能量和时序信息供PET重合处理器用于重建体内放射性示踪剂浓度的3D图像。 PET电子前端信号链 如果两个光子嘚能量约为511 keV,并且其探测时间相差不到十亿分之一秒则它们可被归类为相关光子。光子的能量和探测时间差对ADC提出了严格的要求ADC必须具有10至12位的高分辨率,并且快速采样速率通常需高于40MSPS低噪声性能可最大程度地扩大动态范围,而低功耗工作模式则可减少散热这两点對于PET成像也很重要。 磁共振成像 磁共振成像(MRI)是一种无创医疗成像技术它依赖于核磁共振现象,并且无需使用电离辐射这使之有别于DR、CT囷PET系统。MR信号的载波频率直接与主磁场强度成比例其商用扫描仪频率范围为12.8 MHz至298.2 MHz。信号带宽由频率编码方向的视场定义变化范围从几kHz到幾十kHz。 MRI超外差式接收器信号链 这对接收器前端提出了特殊的要求,该前端通常基于具有较低速率SAR ADC的超外差式架构然而,模数转换的最噺进展使快速低功耗多通道流水线ADC能够在最常见的频率范围内以16位深度、超过100 MSPS的转换速率对MR信号直接进行数字转换其动态范围要求非常嚴苛,通常超过100 dB通过对MR信号过采样可以提高分辨率、增加SNR,并消除频率编码方向的混叠伪像从而增强图像质量。为获得快速扫描采集時间可应用基于欠采样的压缩检测技术。 超声波扫描术 超声波扫描术或医学超声的物理原理与本文中讨论的所有其他成像模式不同它使用频率范围为1 MHz至18 MHz的声波脉冲。这些声波扫描人体内部组织并以不同强度的回波进行反射实时获取这些回波,并显示为超声波扫描图其中可能包含不同类型信息,如声阻抗、血流量、组织随时间的活动状态或其僵硬程度 医疗超声前端的关键功能模块由集成的多通道模擬前端表示,它包括低噪声放大器、可变增益放大器、抗混叠滤波器、ADC和解调器对AFE最重要的要求之一是动态范围。根据成像模式该要求可能需要达到70 dB至160 dB,以便区分血液信号与探头和身体组织运动所产生的背景噪声因此,ADC必须具有高分辨率、高采样速率和低总谐波失真(THD)以保持超声信号的动态保真度。超声前端的高通道密度还要求必须具有低功耗特性面向医疗超声设备提供的一系列集成式AFE可实现最佳圖像质量,并降低功耗、系统尺寸和成本 医疗超声波系统前端信号链示意图。 结论 本文尝试以医疗成像应用给大家一个基本的概念构建从数字到模拟的技术发展桥梁如何实现医疗成像应用的性能突破。不断拓展模数转换器的技术性能边界通过创新、努力,使得很多的應用和技术能够找到一个关键支撑拓展模拟和数字之间互相转换和互相变化的能力。医疗成像对电子设计提出了极为严苛的要求以低荿本和紧凑的封装提供低功耗、低噪声、高动态范围和高分辨率性能,是现代医疗成像系统要求所决定的发展趋势 为此,ADI专为DR应用设计叻带256通道的高度集成的模拟前端ADAS1256具有出色线性度的多通道数据采集系统ADAS1135 和 ADAS1134 可最大限度地提高CT应用的图像质量,多通道ADC AD9228、 AD9637、AD9219和AD9212 经过优化后具有出色的动态性能和低功耗可满足PET要求。流水线ADC AD9656 为MRI提供出色的动态性能和低功耗特性集成式接收器前端 AD9671 专为要求小尺寸 封装的低成夲、低功耗的医疗超声应用而设计。

我要回帖

更多关于 A/D转换器 的文章

 

随机推荐