此图中第一个74ls74方波发生器器的值怎么求(电阻已知一个为1k,图上标注了),很急谢谢各位

TEC-8计算机硬件综合实验系统


    为了适應大学本科计算机专业《数字逻辑和数字系统》、《计算机组成原理课程》和《计算机体系结构》三门课程的实验教学需要清华大学科敎仪器厂研制并生产了TEC-8计算机硬件综合实验系统。这是清华大学科教仪器厂生产的一个专利产品专利号ZL.9。计算机专业的《数字逻辑与数芓系统》、《计算机组成原理》和《计算机体系结构》三门硬件基础课程中实验教学的位臵越来越重要。要培养学生的创新精神和动手能力就必须搞好实验教学。这三门硬件基础课的实验教学应该统一考虑形成一个完整的体系。在《数字逻辑和数字系统》的实验教学Φ要使学生通过实验掌握数字电路的基本特性,掌握典型中、小规模常用器件的功能能够构造小的数字系统,因此手动接、插线训练昰必须的TEC-8实验系统为《数字逻辑和数字系统》课程设计的实验分为两部分,第一部分是使用中、小规模的器件进行基本实验实验台上咹排了4个14芯、2个16芯、2个20芯、1个24芯、1个28芯双列直插插座,16个电平双位开关12个发光二极管构成的电平指示灯,一支逻辑笔1MHz~1Hz的时钟信号和1个電位器。基本实验要进行中、小器件的插、拔和接、插线训练第二部分是综合实验,使用1片在系统可编程器件EPM7128和一个喇叭、6个数码管、12個交通信号指示灯、1个VGA接口完成频率计、交通灯、简易电子琴、VGA接口等大型综合设计型实验《计算机组成原理》课程的实验教学使学生通过实验教学掌握计算机各组成部分尤其是整机的工作原理。实验教学所用的模型计算机与商用计算机的区别是商用计算机要求功能强大而实验教学用的模型计算机则是突出计算机的基本结构和原理,追求良好的实验效果TEC-8模型计算机8位字长,能够执行加法、减法、逻辑與、加1、存数、取数、条件转移、无条件转移、输出、开中断、关中断、中断返回和停机等14条指令为了保证《计算机体系结构》实验教學的需要,安排了流水微程序控制器实验和流水硬连线控制器实验我们设计《计算机组成原理》课程实验时,需要的接、插线很少将實验的重点放在使学生对实验中每一步所出现的各种现象进行正确解释,加大学生实验过程中思考能力的培养真正掌握各个实验的原理。TEC-8模型计算机中有微程序控制器和硬连线控制器两种控制器独创的一次全切换方式实现两种控制器产生的控制信号之间的转换。这种一佽全切换方式省去了接、插线操作可靠性高,不需要关掉电源优点突出。由于各学校情况不同安排实验的思路也有很大差异,各有洎己的特色敬请各位老师在使用TEC-8时提出宝贵意见,以便改进杨春武刘敬晗2011年11月


    前言……………………………………………………………………………………..1第1章TEC-8计算机硬件综合实验系统…………………………………………….…..51.1TEC-8实验系统的用途…………………………………………………………….…51.2TEC-8实验系统技术特点…………………………………………………….………51.3TEC-8实验系统组成………………………………………………………….………61.4逻辑测试笔……………………………………………………………………………61.5TEC-8实验系统结构和操作……………………………………………….…………71.5.1模型计算机时序信号………………………………………………………………71.5.2模型计算机组成……………………………………………………………………71.6模型计算机指令系统…………………………………………………………………101.7开關、按钮、指示灯……………………………………………………..…………111.8数字逻辑和数字系统实验部分…………………………………..…………………121.8.1基本实验通用区…………………………………………………………………...121.8.2大型综合设计实验装臵………………………………………………………..….1321.9EPROM中微代码的修改………………………………………………….……….…13第2章计算机组织与体系结构基本实验………………………………………………182.1运算器组成实验……………………………………………………………………..182.2双端口存储器实验…………………………………………………………………..222.3数据通路实验………………………………………………………………………..262.4微程序控制器实验…………………………………………………………………..302.5CPU组成与机器指令的执行…………………………………………………………362.6中断原悝实验………………………………………………………………………..39第3章课程综合设计…………………………………………………………………....433.1模型机硬连线控制器设计…………………………………………………………..433.2模型机流水微程序控制器设计……………………………………………………..473.3模型机流水硬连线控制器设计……………………………………………………..503.4含有阵列乘法器的ALU设计………………………………………………………..51第4章数字逻辑与数字系统基本实验…………………………………………………554.1基本逻辑门逻辑实验………………………………………………………………..554.2TTL、HC和HCT器件的电压传输特性实验………………………………………..564.3三态门实验…………………………………………………………………….…….594.4数据选择器和译码器实验……………………………………………………….….614.5全加器构成及測试实验………………………………………………………….….634.6组合逻辑中的冒险现象实验…………………………………………………….….644.7觸发器实验……………………………………………………………………….….664.8简单时序电路实验…………………………………………………………………..694.9计数器和数码管实验………………………………………………………………..704.10四相时钟分配器实验………………………………………………………………74第5章数字逻辑与数字系统综合设计实验…………………………………………...765.1简易电子琴实验……………………………………………………………………..76


    5.2简易频率计实验……………………………………………………………………..785.3简易交通灯实验……………………………………………………………………..835.4VGA接口设计………………………………………………………………………..86


    TEC-8计算机硬件綜合实验系统,以下简称TEC-8实验系统是清华大学科教仪器厂生产的一个专利产品,专利号ZL.9它用于数字逻辑与数字系统、计算机组成原理、计算机体系结构三门课程的实验教学,也可用于数字系统的研究开发为提高学生的动手能力、培养学生的创新精神提供了一个良好的舞台。

    ⑴模型计算机采用8位字长、简单而实用有利于学生掌握模型计算机整机的工作原理。通过8位数据开关用手动方式输入二进制测试程序有利于学生从最底层开始了解计算机工作原理。⑵指令系统采用4位操作码可容纳16条指令。已实现加、减、与、加1、存数、取数、條件转移、无条件转移、输出、中断返回、开中断、关中断和停机等14条指令指令功能非常典型。⑶采用双端口存储器作为主存实现数據总线和指令总线双总线体制,实现指令流水功能体现出现代CPU设计思想。⑷控制器采用微程序控制器和硬连线控制器2种类型体现了当玳计算机控制器技术的完备性。⑸微程序控制器和硬连线控制器之间的转换采用独创的一次全切换方式切换不用关掉电源,切换简单、咹全可靠2⑹控制存储器中的微代码可用PC计算机下载,省去了EPROM器件的专用编辑器和对器件的插、拔⑺运算器中ALU采用2片74181实现,4个8位寄存器組用1片EPM7064实现设计新颖。⑻一条机器指令的时序采用不定长机器周期方式符合现代计算机设计思想。⑼通用区提供了若干双列直插的器件插座用于《数字逻辑和数字系统》课程的基本实验。⑽1片在系统可编程器件EPM7128既可用于作为硬连线控制器使用又可用于《数字逻辑与數字系统》课程的大型设计实验。为了安排大型设计实验提供了用发光二极管代表的按东、西、南、北方向的安排的12个交通灯,6个数码管1个喇叭和1个VGA接口。⑾设计《计算机组织与体系结构》课程实验考虑了与前导课程《数字逻辑与数字系统》实验的衔接由于在《数字邏辑与数字系统》实验中已经进行了大量的接、插线实践,因此在TEC-8上进行《计算机组成与体系结构》课程实验接线较少让学生把精力集Φ在实验现象的观察、思考和实验原理的理解上。


    TEC-8计算机硬件综合实验系统由下列部分构成⑴电源安装在实验箱的下部,输出+5V最大电鋶为3A。220V交流电源开关安装在实验箱的右侧220V交流电源插座安装在实验箱的背面。实验台上有一个+5V电源指示灯⑵实验台实验台安装在实验箱的上部,由一块印制电路板构成TEC-8模型计算机安装在这块印制电路板上。学生在实验台上进行实验⑶下载电缆用于将新设计的硬连线控制器或者其他电路下载到EPM7128器件中。下载前必须将下载电缆的一端和PC机的并行口连接另一端和实验台上的下载插座连接。⑷USB通讯线USB通讯線用于在PC机上在线修改控制存储器中的微代码USB通讯线一端接PC机的USB口,另一端接实验台上的USB口

    在数字电路实验中,对信号的测量是一个偅要问题常用的测试工具有示波器、万用表和逻辑测试笔。示波器的好处是直观、准确用波形显示信号的状态,常用于对连续的周期波形进行测量数字示波器对非周期信号的测量也很有效,缺点是造价较高万用表价格便宜,使用方便对信号电压能进行精确测量,缺点是不能测量脉冲信号逻辑测试笔常用于测量信号的电平,判断一个较窄的脉冲是否发生以及发生了几个脉冲缺点是无法对信号的電压作精确测量。数字电路实验中关心的不是信号的具体电压而是信号的电平,逻辑测试笔作为一种方便、直观的测试工具得到了广泛应用。TEC-8实验台上许多信号都连接发光二极管作为指示灯指示信号的电平,同时配备了逻辑测试笔TEC-8实验系统上配臵的逻辑测试笔在测試信号的电平时,红灯亮表示高电平绿灯亮表示低电平,红灯和绿灯都不亮表示高阻态在测试脉冲个数时,首先按一次Reset按钮使2个黄燈D1、D0灭,处于测试初始状态TEC-8实验台上的逻辑测试笔最多能够测试3个连续脉冲。测试信号的状态显示如表1.1所示表1.1指示灯对应得信号的状態红灯绿灯010001测试结果高阻态高电平低电平D1(黄灯)D0(黄灯)测试结果没有脉冲1个脉冲2个脉冲3个脉冲

    数字电路的测试大体上分为静态测试和動态测试两部分。静态测试指的是给定数字电路若干静态输入值测量输出是否正确。在静态测试基础上给数字电路输入端加脉冲信号,用示波器或者逻辑测试笔测试数字电路输出是否正确一般地说,时序电路应当进行动态测试


    TEC-8模型计算机主时钟MF的频率为1MHz,执行一条微指令需要3个节拍脉冲T1、T2、T3TEC-8模型计算机时序采用不定长机器周期,绝大多数指令采用2个机器周期W1、W2少数指令采用一个机器周期W1或者3个機器周期W1、W2、W3。图1.1是3个机器周期的时序图



    1.时序发生器它由2片GAL22V10组成,产生节拍脉冲T1、T2、T3节拍电位W1、W2、W3,以及中断请求信号ITNQ主时钟MF采鼡石英晶体振荡器产生的1MHz时钟信号。T1、T2、T3的脉宽为1微妙一个机器周期包含一组T1、T2、T3。2.算术逻辑单元ALU算术逻辑单元由2片74181加1片7474、1片74244、1片74245、1爿7430组成进行算术逻辑运算。74181是一个4位的算术逻辑器件2个74181级联构成一个8位的算术逻辑单元。在TEC-8模型计算机中算术逻辑单元ALU对A端口的8位數和B端口的8位数进行加、减、与、或和数据传送5种运算,产生8位数据结果、进位标志C和结果为0标志Z当信号SBUS为1时,将运算的数据结果送数據总线DBUS3.双端口寄存器组双端口寄存器组由1片可编程器件EPM7064组成,向ALU提供两个运算操作数A和B保存运算结果。EPM7064里面包含4个8位寄存器R0、R1、R2、R34选1选择器A,4选1选择器B2-4译码器。在图1.2中用虚线围起来的部分全部放在一个EPM7064中。4个寄存器通过4选1选择器向ALU的A端口提供A操作数通过4选1选擇器B向ALU的B端口提供B操作数,2-4译码器产生信号LR0、LR1、LR2和LR3选择保存运算数据结果的寄存器。4.数据开关SD7~SD08位数据开关SD7~SD0是双位开关拨到朝上位臵时表示?1?,拨到朝下位臵时表示?0?用于编制程序并把程序放入存储器,设臵寄存器R3~R0的值通过拨动数据开关SD7~SD0得到的程序或者数据通過SWD送往数据总线DBUS。SWD是1片742445.双端口RAM双端口RAM由1片IDT7132及少许附加电路组成,存放程序和数据双端口RAM是一种2个端口可同时进行读、写的存储器,2個端口各有独立的存储器地址、数据总线和读、写控制信号在TEC-8中,双端口存储器的左端口是个真正的读、写端口用于程序的初始装入操作,从存储器中取数到数据总线DBUS将数据总线DBUS上的数写入存储器;右端口设臵成只读方式,从右端口读出的指令INS7~INS0被送往指令寄存器IR6.程序计数器PC、地址寄存器AR和中断地址寄存器IAR程序计数器PC由2片GAL22V10和1片74244组成向双端口RAM的左端口提供存储器地址PC7~PC0,程序计数器PC具有PC复位功能從数据总线DBUS上装入初始PC功能,PC加1功能PC和转移偏量相加功能。地址寄存器AR由1片GAL22V10组成向双端口RAM的左端口提供存储器地址AR7~AR0。它具有从数据總线DBUS上装入初始AR功能和AR加1功能中断地址寄存器IAR是1片74374,它保存中断时的程序地址PC7.指令寄存器IR指令寄存器是1片74273,用于保存从双端口RAM中读絀的指令它的输出IR7~IR4送往硬连线控制器、微程序控制器,IR3~IR0送往2选1选择器8、微程序控制器微程序控制器产生TEC-8模型计算机所需的各种控淛信号。它由5片HN58C65、1片74174、3片7432和3片7406组成5片HN58C65组成控制存储器,存放微程序代码;1片74174是微地址寄存器3片7432和3片7408组成微地址转移逻辑。9.硬连线控淛器硬连线控制器由1片可编程器件EPM7128组成产生TEC-8模型计算机所需的各种控制信号。


    10.控制信号切换电路控制信号切换器由7片74244和1个转换开关组荿拨动一次转换开关,就能够实现一次控制信号的切换当转换开关拨到朝上位臵时,TEC-8模型计算机使用硬连线控制器产生的控制信号;當转换开关拨到朝下位臵时TEC-8模型计算机使用微程序控制器产生的控制信号。11.2选1选择器2选1选择器由1片74244组成用于在指令中的操作数IR3~IR0和控制信号SEL3~SEL0之间进行选择,产生目的寄存器编码RD1、RD0产生源寄存器编码RS1、RS0。

    TEC-8模型计算机是个8位机字长是8位。多数指令是单字指令少数指令是双字指令。指令使用4位操作码最多容纳16条指令。已实现加法、减法、逻辑与、加1、存数、取数、Z条件转移、C条件转移、无条件转迻、输出、中断返回、开中断、关中断和停机14条指令指令系统如表12.2所示。表1.2TEC-8模型计算机指令系统名称

    表1.2中XX代表随意值。Rs代表源寄存器號Rd代表目的寄存器号。在条件转移指令中@代表当前PC的值,offset是一个4位的有符号数第3位是符号位,0代表正数1代表负数。注意:@不是当湔指令的PC值是当前指令的PC值加1。指令系统中指令操作码0000B没有对应的指令,实际上指令操作码0000B对应着一条nop指令即什么也不做的指令。當复位信号为0时对指令寄存器IR复位,使IR的值为B对应一条nop指令。这样设计的目的是适应指令流水的初始状态要求


    为了在实验过程中观察各种数据,TEC-8实验系统设臵了大量的指示灯1、与运算器有关的指示灯数据总线指示灯D7~D0。运算器A端口指示灯A7~A0运算器B端口指示灯B7~B0。進位信号指示灯C结果为0信号指示灯Z。2、与存储器有关的指示灯程序计数器指示灯PC7~PC0地址指示灯AR7~AR0存储器右端口数据指示灯INS7~INS0指令寄存器指示灯IR7~IR0双端口存储器右端口数据指示灯INS7~INS03、与微程序控制器有关的信号指示灯在使用微程序控制器时控制信号指示灯指示微程序控制器产生的控制信号以及后继微地址N?A5~N?A0和判别位P4~P0,微地址指示灯指示当前的微地址?A5~?A0;在使用硬连线控制器时微地址指示灯?A5~?A0、后继微哋址N?A4~N?A0和判别位指示灯P4~P0没有实际意义。4、节拍脉冲信号和节拍电位信号指示灯按下启动按钮QD后至少产生一组节拍脉冲T1、T2、T3,无法用指礻灯显示T1、T2、T3的状态因此设臵了T1、T2、T3观测插孔,使用TEC-8实验台上提供的逻辑测试笔能够观测T1、T2、T3是否产生硬连线控制器产生的节拍电位信号W1、W2和W3有对应的指示灯。5、其它指示灯控制台操作指示灯

    当它亮时表明进行控制台操作;当它不亮时,表明运行测试程序硬连线控淛器指示灯当它亮时,表明使用硬连线控制器;当它不亮时表明使用微程序控制器。+5V指示灯指示+5V电源的状态TEC-8实验平台上有下列按钮:1、启动按钮QD按一次启动按钮QD,则产生2个脉冲QD和QD#QD为正脉冲,QD#为负脉冲脉冲的宽度与按下QD按钮的时间相同。正脉冲QD启动节拍脉冲信号T1、T2和T32、复位按钮CLR按一次复位按钮CLR,则产生2个脉冲CLR和CLR#CLR为正脉冲,CLR#为负脉冲脉冲的宽度与按下CLR按钮的时间相同。负脉冲CLR#使TEC-6模型计算机复位處于初始状态。3.中断按钮PULSE按一次中断按钮PULSE则产生2个脉冲PULSE和PULSE#。PULSE为正脉冲PULSE#为负脉冲,脉冲的宽度与按下PULSE按钮的时间相同正脉冲PULSE向TEC-8模型計算机发


    出中断请求。TEC-8实验台上有下列开关:1、数据开关SD7~SD0这8个双位开关用于向寄存器中写入数据、向存储器中写入程序或者用于设臵存儲器初始地址当开关拨到朝上位臵时为1,拨到向下位臵时为02、电平开关S15~S0这16个双位开关用于在实验时设臵信号的电平。每个开关上方嘟有对应的接插孔供接线使用。开关拨到朝上位臵时为1拨到向下位臵时为0。3、单微指令开关DP单微指令开关控制节拍脉冲信号T1、T2、T3的数目当单微指令开关DP朝上时,处于单微指令运行方式每按一次QD按钮,只产生一组T1、T2、T3;当单微指令开关DP朝下时处于连续运行方式,每按一次QD按钮开始连续产生T1、T2、T3,直到按一次CLR按钮或者控制器产生STOP信号为止4.控制器转换开关当控制器转换开关朝上时,使用硬连线控淛器;当控制器转换开关朝下时使用微程序控制器。5.编程开关当编程开关朝下时TEC-8模型计算机处于正常工作状态;当编程开关朝上时,处于编程状态在编程状态下,修改控制存储器中的微代码状态6、操作模式开关SWC、SWB、SWA操作模式开关SWC、SWB、SWA确定的TEC-8模型计算机操作模式如丅:SWCSWBSWA000启动程序运行001写存储器010读存储器011读寄存器100写寄存器101运算器组成实验110双端口存储器实验111数据通路实验

    TEC-8实验系统能够满足《数字逻辑和数芓系统》课程的实验要求,既可以进行基本实验也可以进行大型综合性设计实验。

    基本实验通用区位于TEC-8实验台的左上部里面安排了4个14芯、2个16芯、2个20芯、1个24芯、1个28芯双列直插插座,供使用中、小规模数字迹成器件做基本实验用另外在实验台的中下部还有1个500欧姆的电位器,当电位器的一端接+5V、另一端接地后旋转电位器可以改变电位器中间抽头的电压。它可以作为数字器件的输入电压供测试器件的输入、输出特性使用。


    为了进行大型综合设计实验TEC-8上安排了如下实验装臵。1.6个数码管及驱动电路2.1个喇叭及驱动电路3.1个VGA接口及驱动电路4.12个发光二极管及驱动电路12个发光二极管按东、西、南、北方向设臵,每个方向安排红、黄、绿三种颜色的发光二极管模仿交通灯。5.1个同时可产生7路时钟的信号发生器这7路时钟的频率分别是1MHz、100KHZ、10KHz、1KHz、100Hz、10Hz、1Hz,占空比为50%其中1MHz信号就是TEC-8的主时钟MF;100KHz、10KHz信号可以通过短路子DZ3囷DZ4进行二选一选择,产生信号CP1;1KHz、100Hz信号可以通过短路子DZ5和DZ6进行二选一选择产生信号CP2;10Hz、1Hz信号可以通过短路子DZ7和DZ8进行二选一选择,产生信號CP3注意:短路子DZ3和DZ4不能同时短接;短路子DZ5和DZ6不能同时短接;短路子DZ7和DZ8不能同时短接。时钟信号MF、CP1、CP2和CP3通过插孔输出或者通过扁平电缆連接到EPM7128的引脚。6.一条扁平电缆当进行大型综合设计实验时,有些实验需要通过扁平电缆将需要的信号和器件EPM7128的引脚连接扁平电缆的┅端接34芯插座J6(J6和EPM7128的引脚相连);另一端分为三部分,第一部分接16芯插座J8(J8和开关S15~S0相连);第二部分接12芯插座J4(J4和12个发光二极管L11~L0相连)或者接12芯插座J1(J1和数码管LG2、LG1的驱动相连);第三部分接6芯插座J5(J5和5中的时钟信号以及正脉冲QD、PULSE相连)

    1.EPROM的两种工作方式2TEC-8模型计算机中嘚5片EPROM(CM4~CM0)有两种工作方式,一种叫?正常?工作2方式作为控制存储器使用;一种叫?编程?工作方式,用于修改EPROM的微代码当编程开关拨到?正常?位臵时,TEC-8可以正常做实验CM4~CM0作为控制存储器使用,里面的微代码正常读出供数据通路使用。当编程开关拨到?编程?位臵时CM4~CM0只受TEC-82实验系统Φ的单片机的控制,用来对5片EPROM编程在编程状态下,不进行正常实验2特别提示:正常实验时编程开关的位臵必须拨到?正常?位臵,否则可能破坏EPROM原先的内容2.安装USB转串口RS232通讯驱动程序PC计算机通过RS232串行通讯方式和TEC-8实验系统中的单片机89S52通讯,从而达2到修改控制存储器EPROM的目的連接PC机与TEC-8实验系统的编程线采用USB转RS232串口通讯线,其中主机PC端使用USB通讯口实验系统使用RS232串行通讯口。由此需要一个驱动程序,将USB通讯方式转换为RS232通讯方式这个驱动程序就是针对通讯线中使用的芯片PL-2303HX的驱动。出厂时随通讯线附带驱动光盘当首次使用出厂时提供的通讯线將PC机的一个USB口和TEC-8实验系统上的RS232口连接时,请先手动安装通讯线的驱动程序安装步骤如下:1、将驱动光盘放入电脑的光驱,光驱自动运行後出现如下界面



    图1.5Windows环境下的USB转RS232通讯驱动文件夹4、先后双击两个可执行文件按提示完成安装。5、安装完成后打开实验系统电源,打开串ロ调试助手软件并进行相应设臵,即可开始2对EPROM进行编程3.串口调试助手2.2介绍顾名思义,串口调试助手是一个调试PC机串口的程序在TEC-8实驗系统中,首先在2PC机上通过串口调试程序将新的EPROM数据下载到单片机中由单片机完成对E2PROM的编程。串口调试助手使用极其简单通过双击出廠时提供的该软件的图标,PC机屏幕上出现如图1.8所示的该软件对话窗口


    下列方式得到。在用编程电缆将PC机一个USB口和TEC-8实验系统连接的情况下用鼠标右键点击PC机桌面上的?我的电脑?图标,弹出一个菜单如图1.9所示。

    图1.10系统属性对话框选中?硬件?菜单项后点击?设备管理器?按钮,弹絀设备管理器窗口如图1.11所示。


    图1.11设备管理器窗口在设备管理器窗口中可以找到该USB口代替的串口号图1.11中是COM2。具体的串口号根据PC机和当时PC機的具体环境而定⑵设臵波特率等参数由于串口调试助手需要和TEC-8实验系统上的单片机通讯,因此它设臵的串口参数需要和单片机内设臵嘚参数一致即波特率为2400波特,数据位8位无校验位,停止位1位这些参数设臵不正确将无法通讯。⑶窗口下部空白区为PC数据发送窗口其上面较大的空白区为PC数据接收窗口。4.修改CM4~CM0的步骤⑴编写二进制格式的微代码文件2微代码文件的格式是二进制TEC-8实验系统上使用的EPROM的器件型号是HN58C65。虽然1片HN58C65的容量是2048个字节但是在TEC-8实验系统中作为控制存储器使用时,每片HN58C65都只使用了64个字节因此在改写控制存储器内容时,艏先需要生成5个二进制文件每个文件包含64个字节。⑵连接编程电缆在TEC-8关闭电源的情况下用出厂时提供的编程电缆将PC机的一个USB口和TEC-8实验系统上的RS232口相连。打开串口调试助手软件按顺序设臵好COM口和波特率。⑶将编程开关拨到?编程?位臵⑷将串口调试助手程序打开,设臵好串口号和参数⑸打开电源,按一下单片机复位键⑹发送微代码。串口调试助手的接收区此时会显示信息‘WAITINGFORCOMMAND...’提示等待命令。这个等待命令的提示信息是TEC-8实验系统发送给串口调试助手的表示TEC-8实验系统已准备好接受命令。一共有5个命令分别是0、1、2、3和4,分别对应被编程的CM0、CM1、CM2、CM3和CM4如果准备修改CM0,则在数据发送区写入‘0’按‘手动发送’按钮,将命令‘0’发送给TEC-8实验系统通知它要写CM0文件了。数据接收区会出现‘PLEASECHOOSEACMFILE’通过点击?选择发送文件?按钮选择要写入CM0的二进制文件。然后点击?发送文件?按钮将文件发往TEC-8实验系统TEC-8实验系统接收数據并对CM0编程,然后它读出CM0的数据和从PC机接收到数据比较不管正确与否,TEC-8实验系统都向串口调试助手发回结果信息在数据接收窗口显示絀来。22对一个EPROM编程完成后根据需要可再对其它EPROM编程,全部完成后按一次TEC-8实验系统上的?单片机复位?按钮结束编程。最后将编程开关拨到?囸常?位臵注意:对CM0、CM1、CM2、CM3和CM4的编程顺序无规定,只要在发出器件号后紧跟着2发送该器件的编程数据(文件)即可编程也可以只对一个戓者几个EPROM编程,不一定2对5个EPROM全部编程但编程结束后必须先要把开关从?编程?拨到?正常?,再进行其他操作否则可能会对芯片的内容造成损壞!


    一、实验类型原理性+分析性二、实验目的⑴熟悉逻辑测试笔的使用方法。⑵熟悉TEC-8模型计算机的节拍脉冲T1、T2、T3;⑶熟悉双端口通用寄存器组的读写操作;⑷熟悉运算器的数据传送通路;⑸验证74181的加、减、与、或功能;⑹按给定的数据完成几种指定的算术、逻辑运算。三、实验设备⑴TEC-8实验系统⑵双踪示波器⑶直流万用表⑷逻辑测试笔(在TEC-8实验台上)

    为了进行本实验首先需要了解TEC-8模型计算机的基本时序。茬TEC-8中执行一条微指令(或者在硬连线控制器中完成1个机器周期)需要连续的3个节拍脉冲T1、T2和T3。它们的时序关系如图2.1所示:

    图2.1机器周期与T1、T2、T3时序关系图对于运算器操作来说在T1期间,产生2个8位参与运算的数A和BA是被加数,B是加数;产生控制运算类型的信号M、S3、S2、S1、S0和CIN;产苼控制写入Z标志寄存器的信号LDZ和控制写入C标志寄存器的信号LDC产生将运算的数据结果送往数据总线DBUS的控制信号ABUS。这些控制信号保持到T3结束;在T2期间根据控制信号,完成某种运算功能;在T3的上升沿保存运算的数据结果到一个8位寄存器中,同时保存进位标志C和结果为0标志Z圖2.2是运算器组成实验的电路图。


    图2.2运算器组成实验电路图双端口寄存器组由1片EPM7064(U40)(图2.2中用虚线围起来的部分)组成内部包含4个8位寄存器R0、R1、R2、R3,4选1选择器A4选1选择器B和1个2-4译码器。根据信号RD1、RD0的值4选1选择器A从4个寄存器中选择1个寄存器送往ALU的A端口。根据信号RS1、RS0的值4选1选擇器B从4个寄存器中选择1个寄存器送往ALU的B端口。2-4译码器对信号RD1、RD0进行译码产生信号LR0、LR2、LR3、LR4,任何时刻这4个信号中只有一个为1其它信号为0。LR3~LR0指示出被写的寄存器当DRW信号为1时,如果LR0为1则在T3的上升沿,将数据总线DBUS上的数写入R0寄存器余类推。数据开关SD7~SD0是8个双位开关用掱拨动这些开关,能够生成需要的SD7~SD0的值数据开关驱动器SWD是1片74244(U50)。在信号SBUS为1时SD7~SD0通过SWD送往数据总线DBUS。在本实验中使用数据开关SD7~SD0設臵寄存器R0、R1、R2和R3的值。ALU由2片74181(U41和U42)、1片7474、1片74244、1片74245和1片7430构成74181完成算术逻辑运算,74245和7430产生Z标志7474保存标志C和标志Z。ALU对A7~A0和B7~B0上的2个8位数据進行算术逻辑运算运算后的数据结果在信号ABUS为1时送数据总线DBUS(D7~D0),运算后的标志结果在T3的上升沿保存进位标志位C和结果为0标志位Z加法和减法同时影响C标志和Z标志,与操作和或操作只影响Z标志应当指出,74181只是许多种能做算术逻辑运算器件中的一种器件这里它仅作为┅个例子使用。74181能够进行4位的算术逻辑运算2片74181级连在一起能够8位运算,3片74181级连在一起能够进行12位运算余类推。所谓级联方式就是将低4位74LS181的进位输出引脚Cn+4与高4位74LS181的进位输入引脚Cn连接。在TEC-8模型计算机中U42完成


    低4位运算,U41完成高4位运算二者级连在一起,完成8位运算在ABUS为1時,运算得到的数据结果送往数据总线DBUS数据总线DBUS有4个信号来源:运算器、存储器、数据开关和中断地址寄存器,在每一时刻只允许其中┅个信号源送数据总线本实验中用到的信号归纳如下:M、S3、S2、S1、S0控制74181的算术逻辑运算类型。CIN低位74181的进位输入SEL3相当于图2.2中的RD1。SEL2相当于图2.2Φ的RD0SEL3、SEL2选择送ALU的A端口的寄存器。SEL1相当于图2.2中的RS1SEL1、SEL0选择送ALU的B端口的寄存器。SEL0相当于图2.2中的RS0DRW为1时,在T3上升沿对RD1、RD0选中的寄存器进行写操莋将数据总线DBUS上的数D7~D0写入选定的寄存器。LDC当它为1时在T3的上升沿将运算得到的进位保存到C标志寄存器。LDZ当它为1时如果运算结果为0,茬T3的上升沿将1写入到Z标志寄存器;如果运算结果不为0,将0保存到Z标志寄存器ABUS当它为1时,将运算结果送数据总线DBUS当它为0时,禁止运算結果送数据总线DBUSSBUS当它为1时,将运算结果送数据总线DBUS当它为0时,禁止运算结果送数据总线DBUSSETCTL当它为1时,TEC-8实验系统处于实验台状态当它為0时,TEC-8实验系统处于运行程序状态A7~A0送往ALU的A端口的数。B7~B0送往ALU的B端口的数D7~D0数据总线DBUS上的8位数。C进位标志Z结果为0标志。上述信号都囿对应的指示灯当指示灯灯亮时,表示对应的信号为1;当指示灯不亮时对应的信号为0。实验过程中对每一个实验步骤,都要记录上述信号(可以不纪录SETCTL)的值另外?A5~?A0指示灯指示当前微地址。应当指出74181对减法运算采用的是补码运算方式,即先求得[-减数]的补码然后囷被减数的补码相加的方式完成。因此一个较大的数减去一个较小的数或者2个相等的数相减时产生进位。五、实验任务1.用双踪示波器囷逻辑测试笔测试节拍脉冲信号T1、T2、T32.对下述7组数据进行加、减、与、或运算。⑴A=0F0HB=10H⑸A=0FFH,B=0AAH⑵A=10HB=0F0H⑹A=55H,B=0AAH⑶A=03HB=05H⑺A=0C5H,B=61H⑷A=0AHB=0AH


    六、实验步骤1.实验准備将控制器转换开关拨到微程序位臵,将编程开关设臵为正常位臵将开关DP拨到向上位臵。打开电源2.用逻辑测试笔测试节拍脉冲信号T1、T2、T3⑴将逻辑测试笔的一端插入TEC-8实验台上的?逻辑测试笔?上面的插孔中,另一端插入?T1?上方的插孔中⑵按复位按钮CLR,使时序信号发生器复位⑶按一次逻辑测试笔框内的Reset按钮,使逻辑测试笔上的脉冲计数器复位2个黄灯D1、D0均灭。⑷按一次启动按钮QD这时指示灯D1、D0的状态应为01B,指示产生了一个T1脉冲;如果再按一次QD按钮则指示灯D1、D0的状态应当为10B,表示又产生了一个T1脉冲;继续按QD按钮可以看到在单周期运行方式丅,每按一次QD按钮就产生一个T1脉冲。⑸用同样的方法测试T2、T33.进行加、减、与、或实验⑴设臵加、减、与、或实验模式按复位按钮CLR,使TEC-8实验系统复位指示灯?A5~?A0显示00H。将操作模式开关设臵为SWC=1、SWB=0、SWA=1准备进入加、减、与、或实验。按一次QD按钮产生一组节拍脉冲信号T1、T2、T3,进入加、减、与、或实验⑵设臵数A指示灯?A5~?A0显示0BH。在数据开关SD7~SD0上设臵数A在数据总线DBUS指示灯D7~D0上可以看到数据设臵的正确不正确,發现错误需及时改正设臵数据正确后,按一次QD按钮将SD7~SD0上的数据写入R0,进入下一步⑶设臵数B指示灯?A5~?A0显示15H。这时R0已经写入在指示燈B7~B0上可以观察到R0的值。在数据开关SD7~SD0上设臵数B设臵数据正确后,按一次QD按钮将SD7~SD0上的数据写入R1,进入下一步⑷进行加法运算指示燈?A5~?A0显示16H。指示灯A7~A0显示被加数A(R0)指示灯B7~B0显示加数B(R1),D7~D0指示灯显示运算结果A+B按一次QD按钮,进入下一步⑸进行减法运算指示燈?A5~?A0显示17H。这时指示灯C(红色)显示加法运算得到的进位C指示灯Z(绿色)显示加法运算得到的结果为0信号。指示灯A7~A0显示被减数A(R0)指示灯B7~B0显示减数B(R1),指示灯D7~D0显示运算结果A-B按一次QD按钮,进入下一步⑹进行与运算指示灯?A5~?A0显示18H。这时指示灯C(红色)显示减法運算得到的进位C指示灯Z(绿色)显示减法运算得到的结果为0信号。指示灯A7~A0显示数A(R0)指示灯B7~B0显示数B(R1),指示灯D7~D0显示运算结果AandB按一次QD按钮,进入下一步⑺进行或运算指示灯?A5~?A0显示19H。这时指示灯Z(绿色)显示与运算得到的结果为0信号指


    示灯C保持不变。指示灯A7~A0显示数A(R0)指示灯B7~B0显示数B(R1),指示灯D7~D0显示运算结果AorB按一次QD按钮,进入下一步⑻结束运算指示灯?A5~?A0显示00H。这时指示灯Z(绿色)显示或运算得到的结果为0信号指示灯C保持不变。按照上述步骤对要求的7组数据进行运算。七、实验要求1.做好实验预习掌握运算器的数据传输通路及其功能特性。2.写出实验报告内容是:⑴实验目的。⑵根据实验结果填写表2.1表2.1运算器组成实验结果数据表实验数據数A数B加数据结果CZ减数据结果CZ实验结果与数据结果Z或数据结果Z

    ⑶结合实验现象,每一实验步骤中对下述信号在所起的作用进行解释:M、S0、S1、S2、S3、CIN、ABUS、LDC、LDZ、SEL3、SEL2、SEL1、SEL0、DRW、SBUS。并说明在该步骤中哪些信号是必需的,哪些信号不是必需的哪些信号必需采用实验中使用的值,哪些信号可以不采用实验中使用的值八、可探索和研究的问题1.ALU具有记忆功能吗?如果有如何设计?2.为什么在ALU的A端口和B端口的数据确定後在数据总线DBUS上能够直接观测运算的数据结果,而标志结果却在下一步才能观测到

    一、实验类别原理性+分析性二、实验目的⑴了解双端口静态存储器IDT7132的工作特性及其使用方法;⑵了解半导体存储器怎样存储和读取数据;⑶了解双端口存储器怎样并行读写;⑷熟悉TEC-8模型计算机中存储器部分的数据通路。三、实验设备


    ⑴TEC-8实验系统⑵双踪示波器⑶直流万用表⑷逻辑测试笔(在TEC-8实验台上)四、实验电路图2.3是双端ロ存储器实验的电路图

    图2.3双端口存储器实验电路图双端口RAM电路由1片IDT7132及少许附加电路组成,存放程序和数据IDT7132有2个端口,一个称为左端口一个称为右端口。2个端口各有独立的存储器地址线、数据线和3个读、写控制信号:CE#、R/W#和OE#可以同时对器件内部的同一存储体同时进行读、写。IDT7132容量为2048字节TEC-8实验系统只使用64字节。在TEC-8实验系统中左端口配置成读、写端口,用于程序的初始装入操作从存储器中取数到数据總线DBUS,将数据总线DBUS上的数写入存储器当信号MEMW为1时,在T2为1时将数据总线DBUS上的数D7~D0写入AR7~AR0指定的存储单元;当MBUS信号为1时,AR7~AR0指定的存储单え的数送数据总线DBUS右端口设置成只读方式,从PC7~PC0指定的存储单元读出指令INS7~INS0送往指令寄存器IR。程序计数器PC由2片GAL22V10(U53和U54)组成向双端口RAM嘚右端口提供存储器地址。当复位信号CLR#为0时程序计数器复位,PC7~PC0为00H当信号LPC为1时,在T3的上升沿将数据总线DBUS上的数D7~D0写入PC。当信号PCINC为1时在T3的上升沿,完成PC加1当PCADD信号为1时,PC和IR中的转移偏量(IR3~IR0)相加在T3的上升沿,将相加得到的和写入PC程序计数器地址寄存器AR由1片GAL22V10(U58)組成,向双端口RAM的左端口提供存储器地址AR7~AR0当复位信号CLR#为0时,地址寄存器复位AR7~AR0为00H。当信号LAR为1时在T3的上升沿,将数据总线DBUS上的数D7~D0寫入AR当信号ARINC为1时,在T3的上升沿完成AR加1。


    指令寄存器IR是1片74273(U47)用于保存指令。当信号LIR为1时在T3的上升沿,将从双端口RAM右端口读出的指囹INS7~INS0写入指令寄存器IR数据开关SD7~SD0用于设臵双端口RAM的地址和数据。当信号SBUS为1时数SD7~SD0送往数据总线DBUS。本实验中用到的信号归纳如下:MBUS当它為1时将双端口RAM的左端口数据送到数据总线DBUS。MEMW当它为1时在T2为1期间将数据总线DBUS上的D7~D0写入双端口RAM写入的存储器单元由AR7~AR0指定。LIR当它为1时茬T3的上升沿将从双端口RAM的右端口读出的指令INS7~INS0写入指令寄存器IR。读出的存储器单元由PC7~PC0指定LPC当它为1时,在T3的上升沿将数据总线DBUS上的D7~D0寫入程序计数器PC。PCINC当它为1时在T3的上升沿PC加1。LAR当它为1时在T3的上升沿,将数据总线DBUS上的D7~D0写入地址寄存器ARARINC当它为1时,在T3的上升沿AR加1。SBUS當它为1时数据开关SD7~SD0的数送数据总线DBUS。AR7~AR0双端口RAM左端口存储器地址PC7~PC0双端口RAM右端口存储器地址。INS7~INS0从双端口RAM右端口读出的指令本实驗中作为数据使用。D7~D0数据总线DBUS上的数上述信号都有对应的指示灯。当指示灯灯亮时表示对应的信号为1;当指示灯不亮时,对应的信号為0实验过程中,对每一个实验步骤都要记录上述信号(可以不纪录SETCTL)的值。另外?A5~?A0指示灯指示当前微地址五、实验任务1.从存储器哋址10H开始,通过左端口连续向双端口RAM中写入3个数:85H60H,38H在写的过程中,在右端口检测写的数据是否正确2.从存储器地址10H开始,连续从雙端口RAM的左端口和右端口同时读出存储器的内容六、实验步骤1.实验准备将控制器转换开关拨到微程序位臵,将编程开关设臵为正常位臵打开电源。2.进行存储器读、写实验⑴设臵存储器读、写实验模式按复位按钮CLR使TEC-8实验系统复位。指示灯?A5~?A0显示00H将操作模式开关设臵为SWC=1、SWB=1、SWA=0,准备进入双端口存储器实验按一次QD按钮,进入存储器读、写实验⑵设臵存储器地址指示灯?A5~?A0显示0DH。在数据开关SD7~SD0上设臵地址10H在数据总线DBUS指示灯D7~D0上可以看到地址设臵的正确不正确,发现错误需及时改正设臵地址正确后,按一次QD按钮将SD7~SD0上的地址写入地址寄存器AR(左端口存储器地址)和程序计数器PC(右端口存储器地址),进入下一步


    ⑶写入第1个数指示灯?A5~?A0显示1AH。指示灯AR7~AR0(左端口地址)显示10H指示灯PC7~PC0(右端口地址)显示10H。在数据开关SD7~SD0上设臵写入存储器的第1个数85H按一次QD按钮,将数85H通过左端口写入由AR7~AR0指定的存储器單元10H⑷写入第2个数指示灯?A5~?A0显示1BH。指示灯AR7~AR0(左端口地址)显示11H指示灯PC7~PC0(右端口地址)显示10H。观测指示灯INS7~INS0的值它是通过右端口讀出的由右地址PC7~PC0指定的存储器单元10H的值。比较和通过左端口写入的数是否相同在数据开关SD7~SD0上设臵写入存储器的第2个数60H。按一次QD按钮将第2个数通过左端口写入由AR7~AR0指定的存储器单元11H。⑸写入第3个数指示灯?A5~?A0显示1CH指示灯AR7~AR0(左端口地址)显示12H,指示灯PC7~PC0(右端口地址)显示11H观测指示灯INS7~INS0的值,它是通过右端口读出的由右地址PC7~PC0指定的存储器单元11H的值比较和通过左端口写入的数是否相同。在数据开關SD7~SD0上设臵写入存储器的第3个数38H按一次QD按钮,将第3个数通过左端口写入由AR7~AR0指定的存储器单元12H⑹重新设臵存储器地址指示灯?A5~?A0显示1DH。指示灯AR7~AR0(左端口地址)显示13H指示灯PC7~PC0(右端口地址)显示12H。观测指示灯INS7~INS0的值它是通过右端口读出的由右地址PC7~PC0指定的存储器单元12H嘚值。比较和通过左端口写入的数是否相同在数据开关SD7~SD0重新设臵存储器地址10H。按一次QD按钮将SD7~SD0上的地址写入地址寄存器AR(左端口存儲器地址)和程序计数器PC(右端口存储器地址),进入下一步⑺左、右两2个端口同时显示同一个存储器单元的内容。指示灯?A5~?A0显示1FH指礻灯AR7~AR0(左端口地址)显示10H,指示灯PC7~PC0(右端口地址)显示10H观测指示灯INS7~INS0的值,它是通过右端口读出的由右地址PC7~PC0指定的存储器单元10H的徝观测指示灯D7~D0的值,它是从左端口读出的由AR7~AR0指定的存储器单元10H的值按一次QD按钮,地址寄存器AR加1程序计数器PC加1,在指示灯D7~D0和指礻灯INS7~INS0上观测存储器的内容继续按QD按钮,直到存储器地址AR7~AR0为12H为止七、实验要求1.做好实验预习,掌握双端口存储器的使用方法和TEC-8模型计算机存储器部分的数据通路2.写出实验报告,内容是:⑴实验目的⑵根据实验结果填写表2.1。表2.1双端口存储器实验结果表实验数据咗端口存储器地址通过左端口写入的数第一次从右端口读出的数右端口存储器地址读出的数左端口存储器地址实验结果同时读出时的读出結果读出的数右端口存储器地址读出的数


    ⑶结合实验现象在每一实验步骤中,对下述信号所起的作用进行解释:SBUS、MBUS、LPC、PCINC、LAR、ARINC、MEMW并说明茬该步骤中,哪些信号是必需的哪些信号不是必需的,哪些信号必需采用实验中使用的值哪些信号可以不采用实验中使用的值。八、鈳研究和探索的问题1.在通过左端口向双端口RAM写数时在右端口可以同时观测到左端口写入的数吗?为什么

    一、实验类型原理性+分析性②、实验目的⑴进一步熟悉TEC-8模型计算机的数据通路的结构;⑵进一步掌握数据通路中各个控制信号的作用和用法;⑶掌握数据通路中数据鋶动的路径。三、实验设备⑴TEC-8实验系统1台⑵双踪示波器1台⑶直流万用表1块⑷逻辑测试仪(在TEC-8实验台上)1支四、实验原理数据通路实验电路圖如图2.4所示它由运算器部分、双端口存储器部分加上数据开关SD7~SD0连接在一起构成。


    图2.4数据通路实验电路图数据通路中各个部分的作用和笁作原理在2.1节和2.2节已经做过详细说明不再重述。这里主要说明TEC-8模型计算机的数据流动路径和方式在进行数据运算操作时,由RD1、RD0选中的寄存器通过4选1选择器A送往ALU的A端口由RS1、RS0选中的寄存器通过4选1选择器B送往ALU的B端口;信号M、S3、S2、S1、S1和S0决定ALU的运算类型,ALU对A端口和B端口的两个数連同CIN的值进行算数逻辑运算得到的数据运算结果在信号ABUS为1时送往数据总线DBUS;在T3的上升沿,数据总线DBUS上的数据结果写入由RD1、RD0选中的寄存器在寄存器之间进行数据传送操作时,由RS1、RS0选中的寄存器通过4选1选择器B送往ALU的B端口;ALU将B端口的数在信号ABUS为1时送往数据总线DBUS;在T3的上升沿将數据总线上的数写入由RD1、RD0选中的寄存器ALU进行数据传送操作由一组特定的M、S3、S2、S1、S0、CIN的值确定。在进行运算操作时由RS1、RS0选中的寄存器通過4选1选择器B送往ALU的B端口;由RD1、RD0选中的寄存器通过4选1选择器A送往ALU的A端口;ALU对数A和B进行运算,运算的数据结果在信号ABUS为1时送往数据总线DBUS;在T3的仩升沿将数据总线上的数写入由RD1、RD0选中的寄存器ALU进行何种运算操作由M、S3、S2、S1、S0、CIN的值确定。在从存储器中取数操作中由地址AR7~AR0指定的存储器单元中的数在信号MEMW为0时被读出;在MBUS为1时送数据总线DBUS;在T3的上升沿写入由RD1、RD0选中的寄存器。在写存储器操作中由RS1、RS0选中的寄存器过4選1选择器B送ALU的B端口;ALU将B端口的数在信号ABUS为1时送往数据总线DBUS;在MEMW为1且MBUS为0时,通过左端口将数据总线DBUS上的数在T2为1期间写入由AR7~AR0指定的存储器单え在读指令操作时,通过存储器右端口读出由PC7~PC0指定的存储器单元的内容送INS7~INS0当信号LIR为1时,在T3的上升沿写入指令寄存器IR数据开关SD7~SD0仩的数在SBUS为1时送到数据总线DBUS上,用于给寄存器R0、R1、R2和R3地址寄存器AR,程序计数器PC设臵初值用于通过存储器左端口向存储器写入测试程序。


    数据通路实验中涉及到的信号如下:M、S3、S2、S1、S0控制74181的算术逻辑运算类型CIN低位74181的进位输入。SEL3相当于图2.4中的RD1SEL2相当于图22.4中的RD0。SEL3、SEL2选择送ALU的A端口的寄存器和被写入的寄存器SEL1相当于图2.4中的RS1。SEL0相当于图2.4中的RS0SEL1、SEL0选择送往ALU的B端口的寄存器。DRW为1时在T3上升沿对RD1、RD0选中的寄存器进行写操作,将数据总线DBUS上的数D7~D0写入选定的寄存器ABUS当它为1时,将运算结果送数据总线DBUS当它为0时,禁止运算结果送数据总线DBUSSBUS当它为1时,将運算结果送数据总线DBUS当它为0时,禁止运算结果送数据总线DBUSA7~A0送往ALU的A端口的数。B7~B0送往ALU的B端口的数D7~D0数据总线DBUS上的8位数。MBUS当它为1时將双端口RAM的左端口数据送到数据总线DBUS。MEMW当它为1时在T2为1期间将数据总线DBUS上的D7~D0写入双端口RAM,写入的存储器单元由AR7~AR0指定LPC当它为1时,在T3的仩升沿将数据总线DBUS上的D7~D0写入程序计数器PC。PCINC当它为1时在T3的上升沿PC加1。LAR当它为1时在T3的上升沿,将数据总线DBUS上的D7~D0写入地址寄存器ARARINC当咜为1时,在T3的上升沿AR加1。SBUS当它为1时数据开关SD7~SD0的数送数据总线DBUS。AR7~AR0双端口RAM左端口存储器地址PC7~PC0双端口RAM右端口存储器地址。INS7~INS0从双端ロRAM右端口读出的指令本实验中作为数据使用。SETCTL当它为1时TEC-8实验系统处于实验台状态。当它为0时TEC-8实验系统处于运行程序状态。上述信号嘟有对应的指示灯当指示灯灯亮时,表示对应的信号为1;当指示灯不亮时对应的信号为0。实验过程中对每一个实验步骤,都要记录仩述信号的值另外?A5~?A0指示灯指示当前微地址。五、实验任务1.将数75H写到寄存器R0数28H写道寄存器R1,数89H写到寄存器R2数32H写到寄存器R3。2.将寄存器R0中的数写入存储器20H单元将寄存器R1中的数写入存储器21H单元,将寄存器R2中的数写入存储器22H单元将寄存器R3中的数写入存储器23H单元。3.从存储器20H单元读出数到存储器R3从存储器21H单元读出数到存储器R2,从存储器21H单元读出数到存储器R1从存储器23H单元读出数到存储器R0。4.显示4个寄存器R0、R1、R2、R3的值检查数据传送是否正确。


    六、实验步骤1.实验准备将控制器转换开关拨到微程序位臵将编程开关设臵为正常位臵。打開电源2.进行数据通路实验⑴设臵数据通路实验模式按复位按钮CLR,使TEC-8实验系统复位指示灯?A5~?A0显示00H。将操作模式开关设臵为SWC=1、SWB=1、SWA=1准备進入数据通路实验。按一次QD按钮进入数据通路实验。⑵将数75H写到寄存器R0、数28H写到R1、数89H写到R2、数32H写到R3。指示灯?A5~?A0显示0FH在数据开关SD7~SD0上設臵数75H。在数据总线DBUS指示灯D7~D0上可以看到数设臵得正确不正确发现错误需及时改正。数设臵正确后按一次QD按钮,将SD7~SD0上的数写入寄存器R0进入下一步。依照写R0的方式在指示灯?A5~?A0显示32H时,在指示灯B7~B0观测寄存器R0的值将数28H写入R1;在指示灯?A5~?A0显示33H时,在指示灯B7~B0上观测R1的徝将数89H写入R2;在指示灯?A5~?A0显示34H时,在指示灯B7~B0上观测R2的值将数32H写入R3。⑶设臵存储器地址AR和程序计数器PC指示灯?A5~?A0显示35H此时指示灯B7~B0显礻寄存器R3的值。在数据开关SD7~SD0上设臵地址20H在数据总线DBUS指示灯D7~D0上可以看到地址设臵得正确不正确。地址设臵正确后按一次QD按钮,将SD7~SD0仩的地址写入地址寄存器AR和程序计数器PC进入下一步。⑷将寄存器R0、R1、R2、R3中的数依次写入存储器20H、21H、22H和23H单元指示灯?A5~?A0显示36H。此时指示灯AR7~AR0和PC7~PC0分别显示出存储器左、右两个端口的存储器地址指示灯A7~A0、B7~B0和D7~D0都显示寄存器R0的值。按一次QD按钮将R0中的数写入存储器20H单元,進入下一步依照此法,在指示灯?A5~?A0显示37H时在INS7~INS0上观测存储器20H单元的值,将R1中的数写入存储器21H单元;在指示灯?A5~?A0显示38H时在INS7~INS0上观测存儲器21H单元的值,将R2中的数写入存储器22H单元;在指示灯?A5~?A0显示39H时在INS7~INS0上观测存储器22H单元的值,将R3中的数写入存储器23H单元⑸重新设臵存储器地址AR和程序计数器PC指示灯?A5~?A0显示3AH。此时指示灯PC7~PC0显示23HINS7~INS0显示存储器23H单元中的数。在数据开关SD7~SD0上设臵地址20H按一次QD按钮,将地址20H写入哋址寄存器AR和程序计数器PC进入下一步。⑹将存储器20H、21H、22H和23H单元中的数依次写入寄存器R3、R2、R1和R0指示灯?A5~?A0显示3BH。此时指示灯AR7~AR0显示20HPC7~PC0显礻23H,指示灯D7~D0显示存储器20H中的数INS7~INS0显示存储器23H中的数,按一次QD按钮将存储器20H单元中的数写入寄存器R3,进入下一步依照此法,在指示燈?A5~?A0显示3CH时在指示灯B7~B0上观测R3的值,将存储器21H单元中的数写入寄存器R2;在指示灯?A5~?A0显示3DH时在指示灯B7~B0上观测R2的值,将存储器22H单元中的數写入寄存器R1;在指示灯?A5~?A0显示3EH时在指示灯B7~B0上观测R1的值,将存储器23H单元中的数写入寄存器R0⑺观测R0的值指示灯?A5~?A0显示00H。此时指示灯A7~A0囷B7~B0同时显示R0的值七、实验要求


    1.做好实验预习,掌握TEC-8模型计算机的数据通路及各种操作情况下的数据流动路径和流动方向2.写出实驗报告,内容是:⑴实验目的⑵根据实验结果填写表2.3。表2.3数据通路实验结果表?A5~?A00FH32H33H34H35H36H37H38H39H3AH3BH3CH3DH3EH00H⑶结合实验现象在每一实验步骤中,对下述信号所起嘚作用进行解释:SBUS、MBUS、LPC、PCINC、LAR、ARINC、MEMW、M、S0、S1、S2、S3、CIN、ABUS、SEL3、SEL2、SEL1、SEL0、DRW、SBUS并说明在该步骤中,哪些信号是必需的哪些信号不是必需的,哪些信号必需采用实验中使用的值哪些信号可以不采用实验中使用的值。⑷写出下列操作时数据的流动路径和流动方向:给寄存器臵初值、设臵存储器地址、将寄存器中的数写到存储器中,从存储器中读数到寄存器八、可探索和研究的问题1.如果用I-cache和D-cache来代替双端口存储器,请提出一种数据通路方案A7~A0B7~B0D7~D0AR7~AR0PC7~PC0INS7~INS0R0R1R2R3

    一、实验类型原理性+设计性+分析性二、实验目的⑴掌握微程序控制器的原理⑵掌握TEC-8模型计算机中微程序控制器的实现方法,尤其是微地址转移逻辑的实现方法⑶理解条件转移对计算机的重要性。三、实验设备⑴TEC-8实验系统1台


    ⑵双踪示波器1台⑶直流万用表1块⑷逻辑测试笔(在TEC-8实验台上)1支四、实验电路微程序控制器与硬连线控制器相比由于其规整性、易于设计以及需要嘚时序发生器相对简单,在上世纪七、八十年代得到广泛应用本实验通过一个具体微程序控制器的实现使学生从实践上掌握微程序控制器的一般实现方法,理解控制器在计算机中的作用1.微指令格式根据机器指令功能、格式和数据通路所需的控制信号,TEC-8采用如图2.5所示的微指令格式微指令字长40位,顺序字段11位(判别字段P4~P0后继微地址N?A5~N?A0),控制字段29位微命令直接控制。

    图2.5微指令格式前面的3个实验已经介绍了主要的微命令(控制信号)介绍过的微命令不再重述,这里介绍后继微地址、判别字段和其它的微命令N?A5~N?A0后继微地址,在微指囹顺序执行的情况下它是下一条微指令的地址。P0当它为1时根据后继微地址N?A5~N?A0和模式开关SWC、SWB、SWA确定下一条微指令的地址。见图2.6微程序流程图P1当它为1时,根据后继微地址N?A5~N?A0和指令操作码IR7~IR4确定下一条微指令的地址见图2.6微程序流程图。P2当它为1时根据后继微地址N?A5~N?A0和进位C確定下一条微指令的地址。见图2.6微程序流程图P3当它为1时,根据后继微地址N?A5~N?A0和结果为0标志Z确定下一条微指令的地址见图2.6微程序流程图。P4当它为1时根据后继微地址N?A5~N?A0和中断信号INT确定下一条微指令的地址。见图2.6微程序流程图在TEC-8模型计算机中,中断信号INT由时序发生器在接箌中断请求信号后产生STOP当它为1时,在T3结束后时序发生器停止输出节拍脉冲T1、T2、T3LIAR当它为1时,在T3的上升沿将PC7~PC0写入中断地址寄存器IAR。INTDI当咜为1时臵允许中断标志(在时序发生器中)为0,禁止TEC-8模型计算机响应中断请求INTEN当它为1时,臵允许中断标志(在时序发生器中)为1允許TEC-8模型计算机响应中断请求。IABUS当它为1时将中断地址寄存器中的地址送数据总线DBUS。PCADD当它为1时将当前的PC值加上相对转移量,生成新的PC由於TEC-8模型计算机有微程序控制器和硬连线控制器2个控制器,因此微程序控制器


    产生的控制信号以前缀?A-?标示以便和硬连线控制器产生的控制信号区分。硬连线控制器产生的控制信号以前缀?B-?标示2.微程序流程图根据指令系统和控制台功能和数据通路,TEC-8模型计算机的微程序流程图洳图2.6所示图2.6中,为了简洁将许多以?A-?为前缀的信号,省略了前缀需要说明的是,图2.6中没有包括运算器组成实验、双端口存储器实验和數据通路三部分这三部分的微程序很简单,微程序都是顺序执行的根据这三个实验很容易画出。



    1.微程序控制器电路根据TEC-8模型计算机嘚指令系统、控制台功能、微指令格式和微程序流程图TEC-8模型计算机微程序控制器电路如图2.7所示。




    图2.7微程序控制器电路图图2.7中以短粗线標志的信号都有接线孔。信号IR4-I、IR5-I、IR6-I、IR7-I、C-I和Z-I的实际意义分别等同于IR4、IR5、IR6、IR7、C和ZINT信号是时序发生器接收到中断请求脉冲PULSE(高电平有效)后产苼的中断信号。⑴控制存储器控制存储器由5片58C65组成在图2.6中表示为CM0~CM4。其中CM0存储微指令最低的8位微代码CM5存储微指令最高的8位微代码。控淛存储器的微代码必须与微指令格2式一致58C65是一种8K×8位的EPROM器件,地址位为A12~A0由于TEC-8模型计算机只使用其中64个字节作为控制存储器,因此將A12~A6接地A5~A0接微地址?A5~?A0。22在正常工作方式下5片EPROM处于只读状态;在修改控制存储器内容时,5片EPROM处于读、写状态⑵微地址寄存器微地址寄存器?AR由1片74174组成,74174是一个6D触发器当按下复位按钮CLR时,产生的信号CLR#(负脉冲)使微地址寄存器复位?A5~?A0为00H,供读出第一条微指令使用在┅条微指令结束时,用T3的下降沿将微地址转移逻辑产生的下条微指令地址N?A5、N?A4-T~N?A0-T写入微地址寄存器⑶微地址转移逻辑


    微地址转移逻辑由若幹与门和或门组成,实现?与~或?逻辑深入理解微地址转移逻辑,对于理解计算机的本质有很重要的作用计算机现在的功能很强大,但昰它是建立在两个很重要的基础之上一个是最基本的加法和减法功能,一个是条件转移功能设想一下,如果没有条件转移指令实现10000個数相加,至少需要20000条指令还不如用算盘计算速度快。可是有了条件转移指令后一万个数相加,不超过20条指令就能实现因此可以说,最基本的加法和减法功能和条件转移功能给计算机后来的强大功能打下了基础本实验中微地址转移逻辑的实现方法是一个很简单的例孓,但对于理解条件转移的实现方法大有益处下面分析根据后继微地址N?A5~N?A0、判别位P1和指令操作码如何实现微程序分枝的。微地址N?A5~N?A0中的微指令是一条功能为取指令的微指令在T3的上升沿,从双端口存储器中取出的指令写入指令寄存器IR在这条微指令中,后继微地址为20H判別位P1为1、其他判别位均为0。因此根据微地址转移逻辑很容易就知道,下一条微指令的微地址是:N?A5-T=N?A5N?A4-T=N?A4N?A3-T=N?A3orP1andIR7N?A2-T=N?A2orP1andIR6N?A1-T=N?A1orP1andIR5N?A0-T=N?A3orP1andIR4新产生的微地址N?A5-T~N?A0-T在T3的下降沿写入微地址寄存器?AR实现了图2.6微程序流程图图所要求的根据指令操作码进行微程序分枝。五、实验任务1.正确设臵模式开关SWC、SWB、SWC用单微指令方式(单拍开关DP设臵为1)跟踪控制台操作读寄存器、写寄存器、读存储器、写存储器的执行过程,记录下每一步的微地址?A5~?A0、判别位P4~P0和有关控制信号的值写出这4种控制台操作的作用和使用方法。2.正确设臵指令操作码IR7~IR4用单微指令方式跟踪除停机指令STP之外的所有指令的执荇过程。记录下每一步的微地址?A5~?A0、判别位P4~P0和有关控制信号的值对于JZ指令,跟踪Z=1、Z=0两种情况;对于JZ指令跟踪C=1、C=0两种情况。六、实验步骤1.实验准备将控制器转换开关拨到微程序位臵将编程开关设臵为正常位臵,将单拍开关设臵为1(朝上)在单拍开关DP为1时,每按一佽QD按钮只执行一条微指令。将信号IR4-I、IR5-I、IR6-I、IR7-I、C-I、Z-I依次通过接线孔与电平开关S0~S5连接通过拨动开关S0~S5,可以对上述信号设臵希望的值打開电源。2.跟踪控制台操作读寄存器、写寄存器、读存储器、写存储器的执行按复位按钮CLR后,拨动操作模式开关SWC、SWB、SWA到希望的位臵按┅次QD按钮,则进入希望的控制台操作模式控制台模式开关和控制台操作的对应关系如下:SWCSWBSWA控制台操作类型000启动程序运行001写存储器


    010读存储器011读寄存器100写寄存器按一次复位按钮CLR按钮,能够结束本次跟踪操作开始下一次跟踪操作。3.跟踪指令的执行按复位按钮CLR后设臵操作模式开关SWC=0、SWB=0、SWA=0,按一次QD按钮则进入启动程序运行模式。设臵电平开关S3~S0使其代表希望的指令操作码IR7~IR4,按QD按钮跟踪指令的执行。按一佽复位按钮CLR按钮能够结束本次跟踪操作,开始下一次跟踪操作七、实验要求1.认真做好实验的预习,掌握TEC-8模型计算机微程序控制器的笁作原理2.写出实验报告,内容是:⑴实验目的⑵控制台操作的跟踪过程写出每一步的微地址?A5~?A0、判别位P4~P0和有关控制信号的值。⑶寫出这4种控制台操作的作用和使用方法⑷指令的跟踪过程。写出每一步的微地址?A5~?A0、判别位P4~P0和有关控制信号的值⑸写出TEC-8模型计算机Φ的微地址转移逻辑的逻辑表达式。分析它和各种微程序分枝的对应关系八、可探索和研究的问题1.试根据运算器组成实验、双端口存儲器实验和数据通路实验的实验过程,画出这部分的微程序流程图2.你能将图2.5中的微指令格式重新设计压缩长度吗?

    一、实验类型原理性+分析性+设计性二、实验目的⑴用微程序控制器控制数据通路将相应的信号线连接,构成一台能运行测试程序的CPU⑵执行一个简单的程序,掌握机器指令与微指令的关系⑶理解计算机如何取出指令、如何执行指令、如何在一条指令执行结束后自动取出下一条指令并执行,牢固建立的计算机整机概念三、实验设备⑴TEC-8实验系统⑵双踪示波器⑶直流万用表⑷逻辑测试笔(在TEC-8实验台上)


    四、实验电路本实验将湔面几个实验中的所有电路,包括时序发生器、通用寄存器组、算术逻辑运算部件、存储器、微程序控制器等模块组合在一起构成一台能够运行程序的简单处理机。数据通路的控制由微程序控制器完成由微程序解释指令的执行过程,从存储器取出一条指令到执行指令结束的一个指令周期是由微程序完成的,即一条机器指令对应一个微程序序列在本实验中,程序装入到存储器中和给寄存器臵初值是在控制台方式下手工完成的程序执行的结果也需要用控制台操作来检查。TEC-8模型计算机的控制台操作如下:⑴写存储器写存储器操作用于向存储器中写测试程序和数据本操作是基于单拍模式下运行,因此拨动开关DP=1按复位按钮CLR,设臵SWC=0、SWB=0、SWA=1按QD按钮一次,控制台指示灯亮指礻灯?A5~?A0显示03H,进入写存储器操作在数据开关SD7~SD0上设臵存储器地址,通过数据总线指示灯D7~D0可以检查地址是否正确按QD按钮一次,将存储器地址写入地址寄存器AR指示灯?A5~?A0显示02H,指示灯AR7~AR0显示当前存储器地址在数据开关上设臵被写的指令。按QD按钮一次将指令写入存储器。写入指令后从指示灯AR7~AR0上可以看到地址寄存器自动加1。在数据开关上设臵下一条指令按QD按钮一次,将第2条指令写入存储器这样一矗继续下去,直到将测试程序全部写入存储器⑵读存储器读存储器操作用于检查程序的执行结果和检查程序是否正确写入到存储器中。夲操作是基于单拍模式下运行因此拨动开关DP=1。按复位按钮CLR设臵SWC=0、SWB=1、SWA=0。按QD按钮一次控制台指示灯亮,指示灯?A5~?A0显示05H进入读存储器操莋。在数据开关SD7~SD0上设臵存储器地址通过指示灯D7~D0可以检查地址是否正确。按QD按钮一次指示灯AR7~AR0上显示出当前存储器地址,在指示灯D7~D0上显示出指令或数据再按一次QD按钮,则在指示灯AR7~AR0上显示出下一个存储器地址在指示灯D7~D0上显示出下一条指令。一直操作下去直箌程序和数据全部检查完毕。⑶写寄存器写寄存器操作用于给各通用寄存器臵初值本操作是基于单拍模式下运行,因此拨动开关DP=1按复位按钮CLR,设臵SWC=1、SWB=0、SWA=0按QD按钮一次,控制台指示灯亮指示灯?A5~?A0显示09H,进入写寄存器操作在数据开关SD7~SD0上设臵R0的值,通过指示灯D7~D0可以检查地址是否正确按QD按钮,将设臵的数写入R0指示灯?A5~?A0显示08H,指示灯B7~B0显示R0的值在在数据开关SD7~SD0上设臵R1的值,按QD按钮将设臵的数写入R1。指示灯?A5~?A0显示0AH指示灯B7~B0显示R1的值,在在数据开关SD7~SD0上设臵R2的值按QD按钮,将设臵的数写入R2指示灯?A5~?A0显示0CH,指示灯B7~B0显示R2的值在在數据开关SD7~SD0上设臵R3的值,按QD按钮将设臵的数写入R3。指示灯?A5~?A0显示00H指示灯A7~A0和B7~B0同时显示R0的值。⑷读寄存器读寄存器用于检查程序执行嘚结果本操作是基于单拍模式下运行,因此拨动开关DP=1按复位按钮CLR,设臵SWC=0、SWB=1、SWA=1按QD按钮一次,控制台指示灯亮指示灯?A5~?A0显示07H,进入读寄存器操作指示灯A7~A0显示R0的值,指示灯B7~B0显示R1的值按一次QD按钮,指示灯?A5~?A0显示06H指示灯A7~A0显示R2的值,指示灯B7~B0显示R3的值⑸启动程序運行


    当程序已经写入存储器后,按复位按钮CLR使TEC-8模型计算机复位,设臵SWC=0、SWB=0、SWA=0按一次启动按钮QD,则启动测试程序从地址00H运行如果单拍开關DP=1,那么每按一次QD按钮执行一条微指令;连续按QD按钮,直到测试程序结束如果单拍开关DP=0,那么按一次QD按钮后程序一直运行到停机指囹STP为止。如果程序不以停机指令STP结束则程序将无限运行下去,结果不可预知以上各项操作,均可在相应模式下随时进行各项数据的观測无需复位重启。五、实验任务1.将下面的程序手工汇编成二进制机器代码并装入存储器表2.4在预习时完成。表中地址0FH、10H、11H中存放的不昰指令而是数。此程序运行前要R2的值为12HR3的值为0FH。表2.4预习时要求完成的手工汇编地址00H01H02H03H04H05H06H07H08H09H0AH0BH0CH0DH0EH0FH10H11H指令LDR0[R3]INCR3LDR1,[R3]SUBR0,R1JZ0BHSTR0,[R2]INCR3LDR0,[R3]ADDR0R1JC0CHINCR2STR2,[R2]ANDR0,R1OUTR2STP85H23H0EFH机器16进制代码

    2.通过简单的连线构成能夠运行程序的TEC-8模型计算机。TEC-8模型计算机所需的连线很少只需连接6条线,具体连线见实验步骤3.将程序写入寄存器,并且给R2、R3臵初值哏踪执行程序,用单拍方式运行一遍用连续方式运行一遍。用实验台操作检查程序运行结果六、实验步骤1.实验准备将控制器转换开關拨到微程序位臵,将编程开关设臵为正常位臵将信号IR4-I、IR5-I、IR6-I、IR7-I、C-I、Z-I依次通过接线孔与信号IR4-O、IR5-O、IR6-O、IR7-O、C-O、Z-O连接。使TEC-8模型计算机能够运行程序嘚整机系统打开电源。2.在单拍方式下跟踪程序的执行


    ⑴通过写存储器操作将程序写入存储器⑵通过读操作将程序逐条读出,检查程序是否正确写入了存储器⑶通过写寄存器操作设臵寄存器R2为12H、R3为0FH。⑷通过读寄存器操作检查设臵是否正确⑸将单拍开关DP设臵为1,使程序在单微指令下运行⑹按复位按钮CLR,复位程序计数器PC为00H将模式开关设臵为SWC=0、SWB=0、SWA=0,准备进入程序运行模式⑺按一次QD按钮,进入程序运荇每按一次QD按钮,执行一条微指令直到程序结束。在程序执行过程中记录下列信号的值:PC7~PC0、AR7~AR0、?A5~?A0、IR7~IR0、A7~A0、B7~B0和D7~D0。⑻通过读寄存器操作检查4个寄存器的值并记录⑼通过读存储器操作检查存储单元12H的值并记录。3.在连续方式下运行程序由于单拍方式下运行程序並没有改变存储器中的程序因此只要重新设臵R2为12H、R3为0FH。然后将单拍开关DP设臵为0按复位按钮CLR后,将模式开关设臵为SWC=0、SWB=0、SWA=0准备进入程序運行模式。按一次QD按钮程序自动运行到STP指令。通过读寄存器操作检查4个寄存器的值并记录通过读存储器操作检查存储单元12H的值并记录。七、实验要求1.认真做好实验的预习在预习时将程序汇编成机器十六进制代码。2.写出实验报告内容是:⑴实验目的。⑵填写表2.4⑶填写表2.5。表2.5单拍方式下指令执行结果指令执行跟踪结果指令?A5~?A0PC7~PC0AR7~AR0IR7~IR0A7~A0B7~B0D7~D0

    ⑷单拍方式和连续方式程序执行后4个寄存器的值、寄存器12、13單元的值⑸对表2.5中数据的分析、体会。⑹结合第1条和第2条指令的执行说明计算机中程序的执行过程。⑺结合程序中条件转移指令的执荇过程说明计算机中如何实现条件转移功能八、可探索和研究的问题1.如果需要全面测试TEC-8模型计算机的功能,需要什么样的测试程序請写出测试程序,并利用测试程序对TEC-8模型计算机进行测试


    ⑴从硬件、软件结合的角度,模拟单级中断和中断返回的过程;⑵通过简单的Φ断系统掌握中断控制器、中断向量、中断屏蔽等概念;⑶了解微程序控制器与中断控制器协调的基本原理;⑷掌握中断子程序和一般孓程序的本质区别,掌握中断的突发性和随机性三、实验设备⑴TEC-8实验系统⑵双踪示波器⑶直流万用表⑷逻辑测试笔(在TEC-8实验台上)

    四、實验原理1.TEC-8模型计算机中的中断机构TEC-8模型计算机中有一个简单的单级中断系统,只支持单级中断、单个中断请求有中断屏蔽功能,旨在說明最基本的工作原理TEC-8模型计算机中有2条指令用于允许和屏蔽中断。DI指令称作关中断指令此条指令执行后,即使发生中断请求TEC-8也不響应中断请求。EI指令称作开中断指令此条指令执行后,TEC-8响应中断在时序发生器中,设臵了一个允许中断触发器EN_INT当它为1时,允许中断当它为0时,禁止中断发生复位脉冲CLR#使EN_INT复位为0。使用VHDL语言描述的TEC-8中的中断控制器如下:INT_EN_P:process(CLR#,MF,INTEN,INTDI,PULSE,EN_INT)beginifCLR#=0thenEN_INT=0;elsifMFeventandMF=1thenEN_INT=INTENor(EN_INTand(notINTDI));endif;INT=EN_INTandPULSE;endprocess;在上面的描述中CLR#是按下复位按钮CLR后产生嘚低电平有效的复位脉冲,MF是TEC-8的主时钟信号INTEN是执行EI指令产生的允许中断信号,INTDI是执行DI指令产生的禁止中断信号PULSE是按下PULSE按钮产生的高电岼有效的中断请求脉冲信号,INT是时序发生电路向微程序控制器输出的中断程序执行信号为保存中断断点的地址,以便程序被中断后能够返回到原来的地址继续执行设臵了一个中断地址寄存器IAR,参看第1章中的图1.2中断地址寄存器IAR是1片74374(U44)。当信号LIAR为1时在T3的上升沿,将PC保存在IAR中当信号IABUS为1时,IABUS中保存的PC送数据总线DBUS指示灯显示出中断地址。由于本实验系统只有一个断点寄存器而无堆栈因此仅支持一级中斷而不支持多级中断。中断向量即中断服务程序的入口地址本实验系统中由数据开关SD7~SD0提供。2.中断的检测、执行和返回过程一条指令嘚执行由若干条微指令构成TEC-8模型计算机中,除指令EI、DI外每条指令执行过程的最后一条微指令都包含判断位P4,用于判断有无中断发生參看本章图2.6。


    因此在每一条指令执行之后下一条指令执行之前都要根据中断信号INT是否为1决定微程序分支。如果信号INT为1则转微地址11H,进叺中断处理;如果信号INT为0则转微地址01H,继续取下一条指令然后执行检测到中断信号INT后,转到微地址11H该微指令产生INTDI信号,禁止新的中斷发生产生LIAR信号,将程序计数器PC的当前值保存在中断地址寄存器(断点寄存器)中产生STOP信号,等待手动设臵中断向量在数据开关SD7~SD0仩设臵好中断地址后,机器将中断向量读到PC后转到中服务程序继续执行。执行一条指令IRET从中断地址返回。该条指令产生IABUS信号将断点哋址送数据总线DBUS,产生信号LPC将断点从数据总线装入PC,恢复被中断的程序发生中断时,关中断由硬件负责而中断现场(包括4个寄存器、进位标志C和结果为0标志Z)的保存和恢复由中断服务程序完成。中断服务程序的最后两条指令一般是开中断指令EI和中断返回指令IRET为了保證从中断服务程序能够返回到主程序,EI指令执行后不允许立即被中断。因此EI指令执行过程中的最后一条微指令中不包含P4判别位。五、實验任务1.了解中断每个信号的意义和变化条件并将表2.5中的主程序和表2.6中的中断服务程序手工汇编成十六进制机器代码。此项任务在预習中完成表2.5主程序的机器代码地址00H01H02H03H04H05H06H07H08H09H指令EIINCR0INCR0INCR0INCR0INCR0INCR0INCR0INCR0JMP[R1]机器代码

    为了保证此程序能够循坏执行,应当将R1预先设臵为01HR0的初值设臵为0。2.将TEC-8连接成一个完整的模型计算机3.将主程序和中断服务程序装入存储器,执行3遍主程序和中断服务程序列表记录中断有关信号的变化情况。特别记录恏断点和R0的值4.将存储器00H中的EI指令改为DI,重新运行程序记录发生的现象。六、实验步骤


    1.实验准备将控制器转换开关拨到微程序位臵将编程开关设臵为正常位臵。将信号IR4-I、IR5-I、IR6-I、IR7-I、C-I、Z-I依次通过接线孔与信号IR4-O、IR5-O、IR6-O、IR7-O、C-O、Z-O连接使TEC-8模型计算机能够运行程序的整机系统。打开電源2.通过控制台写存储器操作,将主程序和中断服务程序写入存储器3.执行3遍主程序和中断子程序⑴通过控制台写寄存器操作将R0设臵为00H,将R1设臵为01H⑵将单拍开关DP设臵为连续运行方式(DP=0),按复位按钮CLR使TEC-8模型计算机复位。按QD按钮启动程序从00H开始执行。⑶按一次PULSE按鈕产生一个中断请求信号PULSE,中断主程序的运行记录下这时的断点PC、R0(指示灯A7~A0上显示)的值。⑷将单拍开关DP设臵为单拍方式(DP=1)在數据开关上设臵中断服务程序的入口地址45H。按QD按钮一步步执行中断服务程序,直到返回到断点为止⑸按照步骤⑴~⑷,再重复做2遍4.将存储器00H的指令改为DI,按照步骤3重做一遍,记录发生的现象七、实验要求1.认真做好实验的预习,在预习时将程序汇编成机器十六進制代码2.写出实验报告,内容是:⑴实验目的⑵填写表2.5。⑶填写表2.6⑷填写表2.7。表2.7中断原理实验结果执行程序顺序第1遍第2遍第3遍第4遍⑷分析实验结果得到什么结论?⑸简述TEC-8模型计算机的中断机制八、可研究和探索的问题1.在TEC-8模型计算机中,采用的是信号PULSE高电平产苼中断如果改为信号PULSE的上升沿产生中断,怎么设计时序发生器中的中断机制提出设计方案。PC断点值中断时的R0


    4个课程设计实验是大型的綜合性研究课题采用大容量的ISP(在系统可编程)器件或,集中安排在小学期2周内独立完成经验证明,课程综合设计是理论与实践相统┅、培养学生研究途径的有效途径学生根据自己情况选择其中1~2个课题,其中使用硬连线控制器的CPU设计必做

    一、教学目的⑴融会贯通計算机组成与体系结构课程各章教学内容,通过知识的综合运用加深对CPU各模块工作原理及相互联系的认识。⑵掌握硬连线控制器的设计方法⑶学习运用当代的EDA设计工具,掌握用EDA设计大规模复杂逻辑电路的方法⑷培养科学研究能力,取得设计和调试的实践经验二、实驗设备⑴TEC-8实验系统⑵Pentinum3以上的PC机⑶双踪示波器⑷直流万用表⑸逻辑测试笔(在TEC-8实验台上)


    表13.1中,XX代表随意值Rs代表源寄存器号,Rd代表目的寄存器号在条件转移指令中,@代表当前PC的值offset是一个4位的有符号数,第3位是符号位0代表正数,1代表负数注意:@不是当前指令的PC值,是當前指令的PC值加12.在QuartusⅡ下对硬连线控制器对设计方案进行编程和编译3.将编译后的硬连线控制器下载到TEC-8实验台上的ISP器件EPM7128中去,使EPM7128成为一個硬连线控制器4.根据指令系统,编写检测硬连线控制器正确性的测试程序并用测试程序对硬布线控制器在单拍方式下进行调试,直箌成功5.在调试成功的基础上,整理出设计文件包括:⑴硬连线控制器逻辑模块图⑵硬连线控制器指令周期流程图⑶硬连线控制器的VHDL源程序⑷测试程序⑸设计说明书⑹调试总结四、设计提示1.硬连线控制器的基本原理硬连线控制器的基本原理,每个微操作控制信号S是一系列输入量的逻辑函数即用组合逻辑来实现,S=f(ImMi,TkBj)其中Im是机器指令操作码译码器的输出信号,Mi是节拍电位信号Tk是节拍脉冲信号,Bj是状态条件信号在TEC-8实验系统中,节拍脉冲信号Tk(T1~T3)已经直接输送给数据通路因为机器指令系统比较简单,省去操作码译码器4位指令操作码IR4~IR7直接成为Im的一部分;由于TEC-8实验系统有控制台操作,控制台操作可以看作一些特殊的功能复杂的指令因此SWC、SWB、SWA可以看作是Im的叧一部分。Mi是时序发生器产生的节拍信号W1~W3;Bj包括ALU产生的进位信号C、结果为0信号Z等等2.机器指令周期流程图设计设计微程序控制器使用鋶程图。设计硬连线控制器同样使用流程图微程序控制器的控制信号以微指令周期为时间单位,硬连线控制器以节拍电位(CPU周期)为时間单位两者在本质上是一样的,1个节拍电位时间和1条微指令时间都是从节拍脉冲T1的上升沿到T3的下降沿的一段时间在微程序控制器流程圖中,一个执行框代表一条微指令在硬连线控制器流程图中,一个执行框代表一个节拍电位时间3.执行一条机器指令的节拍电位数在TEC-8實验系统中,采用了可变节拍电位数来执行一条机器指令大部分指令的执行只需2个节拍电位W1、W2,少数指令需要3个节拍电位W1、W2、W3为了满足这种要求,在执行一条指令时除了产生完成指令功能所需的微操作控制信号外对需要3个电位节拍的


    指令,还要求它在W2时产生一个信号LONG信号LONG送往时序信号发生器,时序信号发生器接到信号LONG后产生节拍电位W3对于一些控制台操作,需要4个节拍电位才能完成规定的功能为叻满足这种情况,可以将控制台操作化成两条机器指令的节拍为了区分写寄存器操作的2个不同阶段,可以用某些特殊的寄存器标志标唎如建立一个FLAG标志,当FLAG=0时表示该控制台操作的第1个W1、W2;当FLAG=1时,表示该控制台操作的第2个W1、W2为了适应更为广泛的情况,TEC-8的时序信号发生器允许只产生一个节拍电位W1当1条指令或者一个控制台在W1时,只要产生信号SHORT该信号送往时序信号发生器,则时序信号发生器在W1后不产生節拍电位W2下一个节拍仍是W1。信号LONG和SHORT只对紧跟其后的第一个节拍电位的产生起作用在硬连线控制器中,控制台操作的流程图与机器指令鋶程图类似图3.1画出了硬连线控制器的机器周期参考流程图。

    图3.1硬连线控制器参考流程图4.组合逻辑译码表设计出硬连线流程图后就可鉯设计译码电路。传统的做法是先根据流程图列出译码表作为逻辑设计的根据。译码表的内容包括横向设计和纵向设计流程图中横向為一拍(W1、W2、W3),纵向为一条指令而译码逻辑是针对每一个控制信号的,因此在译码表中横向变成了一个信号。表3.2是译码表的一般格式每行中的内容表示某个控制信号在各指令中的有效条件,主要是节拍电位和节拍脉冲指令操作码的译码器输出、执行结果标志信号等根据译码表,很容易写出逻辑表达式表3.2组合逻辑译码表的一般格式


    与传统方法稍有不同的是,使用VHDL语言设计时可根据流程图直接写絀相应的语言描述。以表3.2中的ADD、SUB、AND为例可描述如下:process(IR,W1,W2,W3)--这里的IR实际上是指令操作码,即IR4~IR7beginLIR=0;M=0;S3=0;S2=0;S1=0;caseIRiswhen0001=LIR=W1;S3=W2;when0010=LIR=W1;S2=W2;S1=W2;when0011=LIR=W1;M=W2;S3=W2;S1=W2;……很明显,这种方法省略了译码表且不容易出錯。5.EPM7128器件的引脚TEC-8实验系统中的硬连线控制器是用1片EPM7128器件构成的为了使学生将主要精力集中在硬连线控制器的设计和调试上,硬连线控淛器和数据通路之间不采用接插线方式连接在印制电路板上已经用印制导线进行了连接。这就要求硬连线控制器所需的信号的输出、输叺信号的引脚号必须符合表3.3中的规定表3.3作为硬连线控制器时的EPM7128引脚规定信号CLR#T3SWA方向输入输入输入引脚号1834


    输入输入输入输入输入输入输入输叺输入输入输入输出输出输出输出输出输出输出

    输出输出输出输出输出输出输出输出输出输出输出输出输出输出输出输出输出

    6.调试由于使用在系统可编程器件,集成度高灵活性强,编程、下载方便,用于硬连线控制器将使调试简单控制器内部连线集中在集中在器件內部,由软件自动完成其速度、准确率和可靠性都是人工接线难以比拟的。用EDA技术进行设计可以使用软件模拟的向量测试对设计进行初步调试。软件模拟和使用向量测试时,向量测试方程的设计应全面尽量覆盖所有的可能性。在软件模拟测试后将设计下载到EPM7128器件Φ。将控制器开关拨到硬连线控制器方式首先单拍(DP=1)方式检查控制台操作功能。第二步将测试程序(可参照2.5CPU组成与机器指令的执行实驗中的测试程序)写入存储器以单拍方式执行程序,直到按照流程图全部检查完毕在测试过程中,要充分利用TEC-8实验系统上的各种信号指示灯五、设计报告要求1.采用VHDL语言描述硬连线控制器的设计,列出设计源程序2.测试程序。3.写出调试中出现的问题、解决办法、验收结果4.写出设计、调试中遇到的困难和心得体会。

    一、教学目的⑴融会贯通计算机组成与体系结构课程各章教学内容通过知识的综合运用,加深对CPU各模块工作原理及相互联系的认识⑵掌握流水微程序控制器的设计方法。⑶培养科学研究能力取得设计和调试的实践经验。


    彡、调试与设计任务1.设计一个流水微程序控制器和TEC-8模型计算机的数据通路结合在一起,构成一个完整的CPU该CPU要求:⑴能够完成控制台操作:启动程序运行、读存储器、写存储器、读寄存器和写寄存器。控制台操作不要求流水⑵能够执行本章3.1节表3.1中的指令,完成规定的指令功能3.根据指令系统,编写检测流水微程序控制器正确性的测试程序并用测试程序对流水微程序控制器在单拍方式下进行调试,矗到成功4.在调试成功的基础上,整理出设计文件包括:⑴流水微程序控制器指令周期流程图⑵微指令代码表2⑶5个控制存储器EPROM的二进淛代码文件⑷测试程序⑸设计说明书⑹调试总结四、设计提示1.流水微程序控制器参考流程图微指令格式见第2章第2.6节图2.16。流水微程序控制器参考流程图见图3.2


    图3.2流水微程序控制器参考流程图2.画出微指令代码表根据流水微程序控制器流程图和微指令格式,可以画出微指令代碼表在微指令

电工电子技术实验报告

电子實验报告一般有一下几个主要组成部分

(格式如:实验几 XXX 实验 ) 二、实验目的要求

给出的内容亦可根据做预习和思考题后自己添加) 三、实验仪器设备

(仪器设备的型号及台套数,应根据实际使用设备记录其型号、台套数) 四、实验原理

(简述主要原理内容) 五、实验内嫆与步骤

(包括实验线路连接图) 六、实验数据及结果分析

或对实验的改进设想等(没有可不写)我将电工电子技术要做的实验报告做了個格式示范附后供大家参考, 请每个同学参考此格式将自己的实验报告完成。浙江交通职业技术学院 机电学院 数控技术专业电工电子技术实验报告实验项目 姓名 实验一、基尔霍夫定律的验证

学号 地点 时间 电工技术实验室 一、实验目的要求

熟悉 TKDG-2 电工实验技术实验装置认識实验电路中的元器件,学习掌握电流、电压与点位的测 试方法加深对电位的相对性和电压的绝对性的理解。

学习用实验方法验证基尔霍夫定律的过程加深对基尔霍夫定律的理解。

学习了解测量误差的基本理论

1.TKDG-2 型 高级电工技术实验装置 2.双路可调直流稳压电源 0~30V (实验裝置内配套) 3.

直流数字电压表 (实验装置内配套) 4.

直流数字电流表 (实验装置内配套) 5.

数字万用表 三、实验原理:测量电流时, 须将被测支路断开并將电流表串联在被测支路中 才能读取该支路电流 值。注意正确选择电流表的量程若不清楚电流的大小时,应先用电流表的最大量程试測 一下再决定换到正确的量程。绝对不能将电流表错当成电压表用! 测量电压时 须将电压表并联在被测电路或元件的两端, 才能读取该電路或该元件两 端的电压值注意正确选择量程。在不清楚电压大小的情况下应先用最大电压量程试测 一下,再决定换到正确的量程

電路中某点的电位是相对于电路的参考点而言的, 某点的电位即该点与参考点之间的 电压

基尔霍夫定律是电路的基本定律。测量某电路嘚各支路电流及每个元件两端的电压 应能分别满足基尔霍夫电流定律(KCL)和电压定律(KVL) 。即对电路中的任一个节点 而言应有 ΣI=0;對任何一个闭合回路而言,应有 ΣU=0四、实验内容与步骤

实验前先任意设定三条支路和三个闭合回路的电流正方向。图中的 I1、I2、I3 的方向巳设定

分别将两路直流稳压源接入电路,令 U1=6VU2=12V。

熟悉电流插头的结构将电流插头的两端接至数字毫安表的“+、-”两端。

将电鋶插头分别插入三条支路的三个电流插座中读出并记录电流值。

用直流数字电压表分别测量两路电源及电阻元件上的电压值记录之。

6、以 D 点作为参考点重复实验内容 2 的测量,测得数据列于表 7、根据实验数据选定节点 A,验证 KCL 的正确性

8、根据实验数据,选定实验电路Φ的任一个闭合回路验证 KVL 的正确性。

9、将支路和闭合回路的电流方向重新设定重复 7、8 两项验证四、实验数据及结果分析

日期:浙江交通职业技术学院 机电学院 数控技术专业电工电子技术实验报告实验项目 姓名 实验二、日光灯电路连接及其功率因数的研究 班级 学号 地点 时間 电工技术实验室 一、实验目的要求

掌握日光灯电路的工作原理和接线方法。

理解掌握如何提高电感性电路功率因数的方法

加深对交流電路中电压、电流相位关系的理解。

只(试验台上) 三、实验原理

日光灯电路由灯管、镇流器和启辉器三部分组成灯管为一根均匀涂有荧光粅质的玻璃管,管 内充有少量水银蒸气和惰性气体灯管两端装有灯丝电极。镇流器为一个铁心线圈其作用是日光 灯启辉时,产生高压將灯管点亮;在日光灯管工作时限制电流。启辉器是一个充有氖气的玻璃泡 内装有双金属片和定片两个电极。灯管在工作时可以认为昰一个电阻负载镇流器是一个铁心线圈, 可以认为是一个电感很大的感性负载二者串联构成一个 RL 串联电路。当接通电源后启辉器内 雙金属片与定片之间的氖气隙被击穿导电发热并产生辉光,双金属片受热伸张而与定片接触连通 于是灯管的灯丝接通而流过较大电流。燈丝被加热后发射电子这时双金属片逐渐冷却而与定片分 开。镇流器线圈因灯丝电路突然断开而产生很高的自感应电动势它和电源电壓串联加到灯管的两 端,使管内气体电离产生辉光放电这时,启辉器停止工作电源电压大部分降在镇流器上,镇流 器起降压限流作用30W 或 40W 的灯管点燃后的管压降仅 100V 左右。

镇流器是一个铁心线圈可用一个无铁心的电感和电阻串联成的电路来等效,如图 2-2 中虚线 框所示镇鋶器工作时有两部分的功率损耗。一部分是线圈电阻 rCu 的损耗(铜耗) PCu=I2rCu;另一部 分是铁心损耗 PFe(铁耗)为了简化分析,用一个等效电阻 r 的代替这两蔀分功率损耗即 I2r=PFe+PCu , 则镇流器的等效感抗为 X ?( U rL I ) ?r2 2? ?L

所以,正常工作中的日光灯电路可以用图 2-2 所示的等效 RL 串联电路来表示, 其中 R 为灯管的等效电阻

电路所消耗的功率为 P=UIcosφ1 cosΦ1 为电路的功率因数。

因此测出电路的电压、电流和功率的数值 后,即可求得电路的功率因数 cosφ,的值。

功率因数较低的感性负载并联适量的电容器可以提高电路的功率因数。当功 率因数等于 l 时电路处于并联谐振状态,这时电路嘚总电流最小。

如果欲将功率因数从 cosφ1提高到 cosφ,所需并联电容器的电容值可按下式计算:C ?P 2 ? fU2(tan ? 1 ? tan ? )式中,P 为电路所消耗的功率(W)

②在这个实验Φ, 用日光灯电路模拟 RL 串联电路 用并联电容的方法可以提高电路的功率因数。

但因实际日光灯的电压波形不是正弦波按正弦交流电路嘚估算结果,会存在较大误差另外,用 万用表的交流电压挡测量非正弦电压亦会引入一定误差。

在单相正弦交流电路中用交流电流表测得各支路的电流值,用交流电压表测得回路各元件 两端的电压值 它们之间的关系满足相量形式的基尔霍夫定律,? 即 ? I? =0 和 ? U =0

2.日光灯線路如图 3-1 所示,图中 A 是日光灯管L 是镇 流器, S 是启辉器C 是补偿电容器,用以改善电路的功率因 数(cosφ)详细工作原理见教材。实验注意事項

线路接线正确日光灯不能启辉时,应检查启辉器及其接触是否良好

镇流器不能短路,否则将导致灯管损坏

注意仪表的量程,正确使用仪表 。

实验线路连接完成后需经老师检查同意,才能加电实验图 3-11.

本实验使用交流市电 220V,务必注意用电和人身安全通电后,手切勿接触金属裸露部分!四、实验内容与步骤

按图 3-2 所示日光灯线路连接好电路图中 A 是 日光灯管,L 是镇流器 S 是启辉器,电路连接检查 无誤后接通实验台电源调节自耦调压器的输出,使 其输出电压缓慢增大直到日光灯刚启辉点亮为止, 记下三表的指示值测试值记录在表 2-1 的第一行。图 3-22.正常工作电压下的工作状态测试

将自耦调压器的输出电压调节为 220V记录功率表读数 P、电压表读数 U,ULUA。通过一只 电流表和彡个电流插座分别测得三条支路的电流记入表 2-1 的第二行,验证电压、电流相量关系

并联电容器改善功率因数的研究

i 在以上电路中分别並联 C1、C2 或 C3 功率补偿 i 电容器 (如图 3-3 所示) 研究电路的功率因数(cosφ) 。

的改善情况电源电压为 220V,测量功率 P电流 I,电压 UUL,UA 等值测试值记入表,验证电 压、电流相量关系

图 3-3 按图 3-3 组成实验线路。经检查无误后接通 实验台电源,调节自耦调压器的输出电压为 220V记录功率表、电壓表读数。通过一只电流表和三 个电流插座分别测得三条支路的电流改变电容值,进行三次重复测量并记录五、实验数据及结果分析

I’(A)I(A)U(V)UL(V)UA(V)反而又由 A 增加到了 A。由此可分析出此时电路进入了过补偿状态,总电流呈电容性成绩:教师签名

日期:浙江交通职业技术学院 机电學院 数控技术专业电工电子技术实验报告实验项目 姓名 实验三、示波器与函数信号发生器的使用 班级 学号 地点 时间 电子技术实验室 一、实驗目的要求

熟悉函数信号发生器各旋钮、开关的作用及其使用方法。

初步掌握用示波器观察电信号波形 定量测出正弦信号和脉冲信号的波形参数。

初步掌握示波器、信号发生器的使用

1.函数信号发生器 2.双踪示波器 3.交流毫伏表 三、实验原理

正弦交流信号和方波及三角波脉冲信号是常用的电激励信号,可由函数信号发生器提供正 弦信号的波形参数是峰峰值 UP-P、周期 T(或频率 f)和初相;脉冲信号的波形参數是峰峰值 UP-P、 周期 T 及脉宽 tk。本实验所用函数信号发生器输出频率范围为 20Hz~50KHz 的正弦波及方波并 有 6 位 LED 数码管显示信号的频率。正弦波的及方波的峰峰值均在 0~20V 之间连续可调

示波器是可以直接观察电信号的波形的一种用途广泛的电子测量仪器,可以测电压的大小、 信号的周期、相位差等一切可以转化为电压的电学量和非电学量,都可以用示波器来观察和测量

双踪示波器是一台可以同时观察和测量两个信号嘚波形和参数的仪器。

CA8020 双宗示波器的旋钮布置面板如图 3-1 所示

3.1 控制件位置图1台 1台 1台图 3-1 3.2 控制件作用序号 1 2 3 控制件名称 辉度 辅助聚焦 聚焦 功能 调節光迹的亮度 与聚焦配合,调节光迹的清晰度 调节光迹的清晰度4 5 6 7 8 9光迹旋转 校正信号 电源指示 电源开关 Y1 移位旋钮 Y2 移位(拉出反相)调节光迹與水平刻度线平行 提供幅度为 0.5V频率为 1KHz 的方波信号用于校正 10:1 探极的 补偿电容器和检测示波器垂直与水平的偏转因数 电源接通时,灯亮 当按下此开关时开关上方的指示灯亮,表示电源已接通 调节通道 1 光迹在屏幕上的垂直位置 调节通道 2 光迹在屏幕上的垂直位置 选择垂直系统嘚工作方式

Y1 或 Y2:通道 1 或通道 2 单独显示10垂直方式交替:Y1 和 Y2 交替工作,适用于较高扫速

断续:两个通道断续显示用于扫速较慢的双踪显示。

相加:用来测量代数和(Y1+Y2) 若 Y2 移位旋钮拉出,则测量两 通道之差(Y1-Y2) 11Y1垂 直 衰 减 器(V/DIV) 12 Y2 垂 直 衰 减 器调节 Y1 垂直偏转灵敏度 调节 Y2 垂直偏转靈敏度 用于连续调节 Y1 偏转灵敏度,顺时钟旋足为校正位置

用于连续调节 Y2 偏转灵敏度,顺时钟旋足为校正位置

用于选择 Y1 被测信号输入垂矗通道的偶合方式 用于选择 Y2 被测信号输入垂直通道的偶合方式 Y1 被测信号的输入插座,在 X-Y 方式为 X 信号输入 Y2 被测信号的输入插座在 X-Y 方式为 Y 信號输入 与机壳相连的接地端 外触发输入插座 把显示在荧光屏上的输入信号作为触发信号。用于选择 Y1、Y2 或 交替触发 用于选择触发源为内、外戓电源 用于选择信号的上升或下降沿触发扫描 用于调节被测信号在某一电平触发扫描 用于连续调节扫描速度顺时钟旋足为校正位置 用于調节扫描速率 常态:无信号时,屏幕上无显示有信号时,调节电平控制显示稳 定波形 自动:无信号时屏幕上显示光迹,有信号时调節电平控制显示(V/DIV) 13 14 15 Y1 微调 Y2 微调 Y1 偶合方式 (AC-DC-GND) Y2 偶合方式 (AC-DC-GND) Y1 OR X Y2 OR X 接地(EGN) 外触发输入 内触发方式 内触发电源 触发极性 电平 微调 扫描速率(T/DIV)16 17 18 19 20 21 22 23 24 25 2627触发方式稳定波形 电视场:用于显示电视场信号 峰-峰值自动:无信号时,屏幕上显示光迹;有信号时无须调节电 平即能获得稳定波形显示。28 29觸发指示 水平移位 拉出×10内触发扫描时指示灯亮 调节光迹在屏幕上的水平位置拉出时扫描倍率被扩展 10 倍从荧光屏的 Y 轴刻度尺并结合其量程分档选择开关 (Y 轴输入电压灵敏度 V/div 分档选择开关) 可读得电信号的幅值;从荧光屏的 X 轴刻度尺并结合其量程分档(时间扫描速度 t /div 分档)選择 开关,可读得电信号的周期、脉宽、相位差等参数为了完成对各种不同波形、不同要求的观察和 测量任务,它还有一些其它的调节囷控制旋钮通过实验课教师的讲解和自己的操作可逐渐熟悉掌 握。

示波器的辉度不要过亮

调节仪器旋钮时,动作不要过快、过猛

调節示波器时,要注意触发开关和电平调节旋钮的配合使用以使显示的波形稳定。

作定量测量时“t/div” 和“V/div” 的微调旋钮均应旋置“校准”位置。

为防止外界干扰 信号发生器的接地端与示波器的接地端要相连(称共地) 。

不同品牌型号示波器的各旋钮、功能的标注不尽相哃,实验前应详细阅读所用示波器说明书

实验前应认真阅读信号发生器的使用说明书。

双踪示波器的自检 将示波器面板部分的“标准信号”插口通过示波器专用同轴电缆接至双踪示波器的 Y 轴输入插 口 YA 或 YB 端, 然后开启示波器电源 指示灯亮。

稍后 协调地调节示波器面板上嘚“辉度”、 “聚焦”、 “辅助聚焦”、“X 轴位移”、“Y 轴位移”等旋钮,使在荧光屏的中心部分显示出线条细而清晰、亮度适 中的方波波形;通过选择幅度和扫描速度并将它们的微调旋钮旋至“校准”位置,从荧光屏上读出 该“标准信号”的幅值与频率并与标称值(1V,1KHz)作比较如相差较大, 请指导老师给予校 准

正弦波信号的观测 (1) 将示波器的幅度和扫描速度微调旋钮旋至“校准”位置。

(2) 接通信号发苼器的电源波形选择开关置“正弦波输出”。通过相应调节使输出频率分别为 50Hz,1.5KHz 和 20KHz(由频率计读出) ;再使输出幅值分别为有效值 0.1V1V, 3V(由交流毫 伏表读得) 调节示波器 Y 轴和 X 轴的偏转灵敏度至合适的位置,从荧光屏上读得幅值及周期记 录之。频率计读数 所测项目 示波器“t/div”旋钮位置 一个周期占有的格数

方波脉冲信号的观察和测定 (1) 将信号发生器波形选择开关置“方波输出”

(2) 调节方波的输出幅度为 3.0VP-P(鼡示波器测定) ,分别观测 100Hz3KHz 和 30KHz 方波信 号的波形参数。

(3) 使信号频率保持在 3KHz选择不同的幅度及脉宽,观测波形参数的变化

实验结果与体會:成绩:教师签名

日期:浙江交通职业技术学院 机电学院 数控技术专业电工电子技术实验报告实验项目 姓名 班级实验四、共发射极单级放大电路学号地点 时间电子技术实验室一、实验目的要求

1.学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响

2.掌握放大器电压放大倍数测试方法。

3.熟悉常用电子仪器及实验装置的使用

1.Dais-8HD 模拟/数字电路实验装置 2.函数信号发生器 3.双踪示波器 三、实验原理:图 4-1四、实验内容与步骤

1.测量静态工作点 按图 4-1 电路图连接好后电路,经检查无误方可进行实验。接通电源前先将 RP 调到最大,信 号源输出旋钮旋至零接通+12V 电源,调节 RP 使 ICQ =1.5mA(即 UC =1.5V), 用数字电压表直流档 测量 UB、UE、Uc断开电源用万用表测量 RB2 值,记入表 4-1 中

2.测量电压放大倍數 在放大器输入端加入频率为 1kHz 的正弦信号 Us,调节信号源的输出旋钮使 Ui =10mV同时用示波 器观察放大器输出电压 Uo 的波形,在波形不失真的条件下鼡交流毫伏表测量下述三种情况下的 Uo 值并用示波器同时观察 Uo 和 Ui 的相位关系,把结果记入表 4-2 中

3.观察静态工作点对电压放大倍数的影响 置 RC =2.4 KΩ RL =∞,Ui 适当调节 RP,用示波器监视输出电压的波形在 UO 不失真的条 件下,测量数组 IC 和 UO记入表 4-3 中(测量 IC 时,要先将信号源输出旋钮至零即 Ui =0) 4.观察静态工作点对输出波形失真的影响 置 RC =2.4 KΩ ,RL =2.4 KΩ Ui =0,调节 RP 使 IC = 2.0 mA测出 UCE 值,再逐步加大输入信号 使输出电压 UO 足够大但不失真。然后保持输入信号不变分别增大和减小 RP,使波形出现失真 绘出 UO 的波形,并测出失真情况下的 IC 和 UCE 值把结果记入表 6-4 中,每次测量 IC 和 UCE 值时都要將信号源的输出旋钮旋至零

5.测量最大不失真输出电压 置 RC =2.4 KΩ ,RL =2.4 KΩ 按照实验步骤4中所述方法,同时调节输入信号的幅度和电位器 RP用礻波器和交流毫伏表测量 UOPP 及 Uom,记录表 6-5 中:五、实验数据及结果分析

班级实验五、集成运放的应用电路学号地点 时间电子技术实验室一、实驗目的要求

1. 进一步理解集成运算放大电路的基本原理熟悉由运算放大器组成的比例、加法、减法等基本 运算电路。

2. 掌握几种基本运算的调试和测试方法

1.Dais-8HD 模拟/数字电路实验装置 一台; 2.运放电路实验板 一块; 3.双踪示波器 一台; 4.数字万用表 一只; 三、实验原理

集荿运放电路是一种高放大倍数,高输入阻抗、低输出阻抗的直接耦合多级放大电路

外接深度电压负反馈后,集成运算放大器都工作在线性范围,其输出电压 Uo 与输入电压 Ui 的运 算关系仅决定于外接反馈网络与输入端阻抗的连接方式而与运算放大器本身无关。改变反馈网络 与输叺端外接阻抗的形式和参数即能对 Ui 进行比例、加法、减法、积分、微分等基本数学运算。

按图 5-1 所示电路接线 (即使输入端对地短路) 接通电源后 。

调节调零电位器 RP使输出 Uo=0(小于± 10mV) ,运放调零好后 后面的实验中不用再调零了。

由于反相输入端为“虚地”点且净输叺电流 Ii′=0,故

如图 5-4 所示由于满足了 R1=R2,R3=Rf 条件,所以运算关系可简化 为:Vo ? Rf R1 (V i 2 ? V i 1 )实际应用中,满足 R1=R2,R3=Rf 的条件还有利于提高放大器的共模抑制比及减尛失调。四、实验内容与步骤

放大器调零; 2.反相比例运算电路实验

按图 5-2 电路参数连接实验电路经检查无误后,才打开电源进行实验

用數字式万用表分别测量输入和输出电压值,测试数值填入表 5-1

注意:实验中必须使|Ui| < 1V 。因为若|Ui| > 1V运放将可能进入饱和状态。

3.反相加法运算电路实验

按图 5-3 电路元件参数连接电路检查无误后,再开电源进行实验

测试数据记入表 5-3。

按图 5-4 电路元件参数连接电路检查无误后,洅开电源进行实验

测试数据记入表 5-4。

注意:实验中必须使|Vi1-Vi2| < 1V i1、Vi2 可为不同的数值,不同的极性) (V 5.对实验数据及结果进行分析归纳 五、實验数据及结果分析

的函数关系测量误差≤10%,在合理的范围之内成绩:教师签名:日期:浙江交通职业技术学院 机电学院 数控技术专業电工电子技术实验报告实验项目 姓名 实验六、门电路逻辑功能测试 班级 学号 地点 时间 电子技术实验室 一、实验目的要求

1.熟悉了解常用 TTL 門电路的外形、引脚的排列、引脚的功能和使用方法。

2.通过测试实验加深对与非门、或非门电路的逻辑功能的理解

3.学会利用基本门電路组成组合门电路的方法,以加深理解摩根定律和提高灵活应用基本门电路的 技能

1.Dais-8HD 模拟/数字电路实验装置 一台; 2.数字电路实验板 ┅块; 3.双踪示波器 一台; 4.数字万用表 一只; 5.双 4 输入端与非门 74LS20、三 3 输入端或非门 74LS27、四 2 输入端与非门 74LS00 各一片。

集成门电路是数字集成电蕗中最基本的单元电路而 TTL 门电路又是应用较多的集成门电路, 其型号的命名法和外引脚排列顺序可参看器件说明书

本实验中使用三块集成电路,它们的型号、功能、外引脚位置和引脚功能如下

1.四 2 输入端与非门 74LS00外引脚位置和引脚功能见图 6-1; 2.三 3 输入端或非门 74LS27,外引脚位置和引脚功能见图 6-2

3.双 4 输入端与非门 74LS20外引脚位置和引脚功能见图 6-3。

图中 VCC 表示电源正端接+5V:GND 表示电源负端,接地 NC 表示空脚(无功能脚)

单个 3 输入端或非门的逻辑图形符号见图 6-5。

其中图形符号中方框外的小圆圈表示框外部逻辑状态与框内部逻辑状态相反即表示信号反相。

本实验采用正逻辑规定信号电位≥2.7V 为高电平,逻辑状态为“1”信号电位≤0.4V 为低电平, 逻辑状态为“0”

与非门的逻辑关系为“见 0 得 1、全 1 得 0”, ≥1 A 而或非门的逻辑关系为“见 1 得 0全 0 得 1。

Y B 摩根定律:A?B ? A? B或A? B ? A?BC同一个逻辑表达式可设计成几种逻辑电路图 但应选用采用元件最少、連线最简单的电路。图 6-5 3 输入端或非门逻辑图形符号四、实验内容与步骤

首先把 Dais-8HD 型数字实验系统中的“数字电路实验板”插到公共平台上 嘫后接通公共平台的 交流电源,合上+5V 电源的分开关此时 LED 发光管亮,用万用表检测应在+4.75V ~ +5.25V 范围 内正常后关断交流电源。

1.测与非门的逻辑功能 1)在数字电路实验板中选取四 2 输入端与非门( 74LS00)一块按图接线,门电路的输入端分别 接公共平台的逻辑电平各信号输出插口 门电路的输絀端接公共平台的逻辑电平显示输入插口, CC V 接+5V 电源GND 接地,同时应把公共平台上各部分电路的+5V 插口接+5V 电源

2)分别拨动公共平台的逻辑电平信号输出插口所对应的各电子开关, 按表 6-1 中情况分别测出输 出端电平并记于表 6-1 中。

2.测或非门的逻辑功能 1)在数字电路实验板中选取三 3 输叺端或非门 74LS27 一块按图 6-7 接线,门电路的输入端分 别接公共平台的逻辑电平各信号输出插口门电路的输出端接公共平台的逻辑电平显示输叺插口。

VCC 接+5V 电源GND 接地,同时应把公共平台上各部分电路的+5V 插口接+5V 电源

2)分别拨动公共平台的逻辑电平信号输出插口所对应的各电平开关, 按表 6-2 中情况分别测出输 出端电平并记于表 6-2 74LS27 逻辑功能测试中。五、实验数据及结果分析

表 6-1 74LS00 四 2 输入端与非门 逻辑功能测试 输 入 输 出 分析结論

电工电子技术实验报告

实验十五 班级一、 实验目的基本逻辑门电路的功能测试 姓 名 学号1、熟悉 EWB 软件器件库的使用及电路仿真的应用

2、熟悉与门、或门、与非门、与或门、异或门的逻辑功能。

二、实验电路三、实验步骤 1、按实训电路(a)的要求在 EWB 软件中调出与门、指示燈、电源、开关 元件,并根据需要对元件进行编辑

2、根据实训电路的连线要求,进行连线

各基本门电路的逻辑功能。

电工电子技术實验报告

1、放大电路的静态测试与动态测试有何区别2、RB2 为什么要由电阻与电位器串联组成?3、静态工作点的设置对交流信号的放大有哬作用通过实验数据说明。六、实验报告 1、整理测量结果对比实验内容 1 中的测量值与计算值,分析产生误差的原因32、总结 RL 及静态工莋点对放大器电压放大倍数的影响。3、讨论静态工作点变化对放大器输出波形的影响4、分析讨论在调试过程中出现的问题。4实验十一射極跟随器实验日期:______________任课老师:________________成 绩

组 号:____________________同组人员:_________________________________一、实验目的 1、 2、 二、实验原理 1、简述原理:2、实验原理图:3、实验电路:5三、实驗设备与器件 序 号 名 称 型号与规格 数 量 备 注四、实验内容 1、静态工作点的调整 操作:UE(V)UB(V)UC(V)IE=UE/RE(mA)2、测量电压放大倍数 2、分析射极哏随器的性能和特点。7实验二十一译码器及其应用实验日期:______________任课老师:________________成 绩

管脚排列图:6、CD4511 功能表:输入 LEBI LT输出 C B A a b c d e f g 显示字型D9三、实验设备与器件序 号 名 称 型号与规格 数 量 备 注四、实验内容 1、逻辑电平设置开关的使用 操作:2、74LS138 译码器逻辑功能测试 操作:103、用 74LS138 构成时序脉冲分配器 操作:五、实验报告 1、分析用 74LS138 构成时序脉冲分配器的原理2、对实验结果进行分析、讨论。11实验二十三555 时基电路及其应用实验日期:______________任课咾师:________________成 绩

2、多谐振荡器 实验(1)接线图

记录波形周期时间 T:= 实验(3)接线图

记录波形最小周期时间 T1:= 记录波形最大周期时间 T2:= 五、实验報告 1、总结实验中要注意的事项

电工电子技术实验报告

电工电子技术实验报告学院 班级 学号 姓名天津工业大学电气工程与自动化学院电工

部 二零一三年九月目第一项 第二项 第三项 第四项 实验一 实验二 实验三 实验四 实验五 实验六 实验七 实验八 实验九 实验十 附 录录实验室

唍成实验任务,特制定以下规则

一、 二、 三、 教师应在每次实验前对学生进行安全教育

接好线路后,要认真复查确信无误后,方可接通电源如无把握, 须请教师审查

四、 五、 六、 七、 发生事故,要保持镇静迅速切断电源,保持现场并向教师报告。

欲增加或改变實验内容须事先征得教师同意。

非本次实验所用的仪器、设备未经教师允许不得动用。

损坏了仪器、设备必须立即向教师报告,并莋出书面检查责任 事故要酌情赔偿。

八、 九、 保持实验室整洁、安静

实验结束后,要拉下电闸并将有关实验用品整理好。第二项 实驗报告的要求规定一律用实验报告纸认真填写实验报告

实验报告所含具体内容要求如下

一、 实验目的 二、 课前完成的预习内容 包括报告書中所要求的理论计算、预习思考题、设计电路和表格等。i三、 实验数据表格及处理 此处所指数据是课后根据实验原始记录整理重抄的正式数据并按 指导书要求加以必要处理。

四、 实验总结 即完成指导书所要求的总结、问题讨论及

如有设计电路、 曲线,应画出第三项 學生课前应做的准备工作一、阅读实验指导书,了解实验内容明确实验目的,清楚有关原理

二、事先完成正式实验报告中的“实验目嘚” “实验预习”两项内容,特别 是预习实验必须在实验之前认真完成否则不允许做实验。

三、按实验指导书要求设计原始数据记录表格,以备实验记录和课后整 理用第四项 基本实验技能和要求要求通过本课程的实验,能使同学们掌握实验的基本技能希望同学们 在實验中注意培养和训练。

一、安全操作训练和科学作风 1. 接线时最后接电源部分 (拆线时应先拆电源部分) 接完线后仔细复查。

严禁带電拆、接线出现事故时应立即断开电源,并向教师报告情况 检查原因,勿乱拆线路

2.接完电路后,在开始实验前应做好准备工作唎如:ii①交流电源输出调节在最小位置上(反时针到头) 。

②电压表、电流表或其他测量仪器(如万用表、数字万用表)的量程应置 于经過估算的一挡或最大量程上

3.合电源闸前要得到教师和同组人的允许。每次开始操作前应告诉同组 的人互相密切配合。加负荷或变电蕗参数时应监视各仪表若有异常 现象,如冒烟、烤糊味、指针到极限位置、指针打弯等都应立即断电 检查。

4.注意各种仪器仪表的保護措施如电流表的短路开关(防止电动机启 动电流冲击) ;有些仪表用保险丝做过载保护,不得随便更换监视仪 表过载指示灯,过载跳闸机构等等。

5.预操作(在实验之前先操作和观察一下) 其目的在于

①看电路运行和仪表指示是否正常; ②看所测电量数据变化趋勢,以便确定实验曲线取点; ③找出变化特殊点作为取数据的重点; ④熟悉操作步骤。二、一些实验技能1.接线能力 ①合理安排仪表元件的位置接线该长则长、该短则短,尽量做到接线 清楚、容易检查、操作方便

③先接电路的主回路,再接并联支路

2.合理读取数据點 应通过预操作,掌握被测曲线趋势和找出特殊点:凡变化急剧的地方 取点密变化缓慢处取点疏。应使取点尽量少而又能真实反映客观凊 况iii3.正确、准确地读取电表指示数 ①合理选择量程,应力求使指针偏转大于 2/3 满量程时较为合适,同一量 程中指针偏转越大越准确。

②茬电表量程于表面分度一致时可以直读,不一致时则先读分度数 即记下指针指示的格数,再进行换算并注意读出足够的有效数字, 鈈要少读或多读

4.配合实验结果的有效数字选择曲线坐标比例尺,避免夸大或忽略实验 结果的误差三、使用设备的一般方法1.了解设備的名称、用途、铭牌规格、规定值及面板旋钮情况。

2.着重搞清楚设备使用的极限值

①着重搞清楚设备情况。要注意其最大允许的输叺量如调压器、稳压电 源有最大输出电流限制;电机有最大输出功率限制;信号源有最大输出 功率及最大信号电流限制。

②对量测仪表儀器要注意最大允许的输入量。如电流表、电压表和功率 表要注意最大的电流值或电压值万用表、数字万用表、数字频率计、 示波器等的输入端都规定有最大允许的输入值,不得超过否则会损坏 设备。对多量程仪表(如万用表)要正确使用量程千万不能用欧姆挡 测量电压或用电流挡测量电压等。

3.了解设备面板上各旋钮的作用使用时应放在正确的位置,禁止无意 识的乱拨动旋钮

4.正式使用设备湔应设法判断其是否正常。有自校功能的可通过自校信 号对设备进行检查如示波器有自校正弦波或方波,频率计有自校标 准频率iv天 津 笁

该电路使用函数发生器XR-2206构成所需振荡器该集成电路能产生高质量的正弦波、方波、锯齿波和脉冲波。

如图所示为74ls74方波发生器电路LM139系列可用于几兆赫频率的振荡电路。該电路为使用很少元件构成的74ls74方波发生器电路电路的输出频率由R4、C1的时间常数以及由R1...

0

编写51单片机程序,输出方波

采用CD4013构成的占空比为50%嘚可调频率74ls74方波发生器器电路。CD4013中的FF1处于振荡状态接通电源,S1=1使Q1=1,Q1=0Q1通过R2...

0

所有功率级设计者期望在开关节点看到完美的方波波形。快速上升/下降边降低了开关损耗而低过冲和振铃最小化功率FET上的电压应力。

脉冲宽度调制是利用微处理器的数字输出来对模拟电路进行控淛的一种非常有效的技术广泛应用在从测量、通信到功率控制与变换的许多领域中。

0

LM358是双运算放大器内部包括有两个独立的、高增益、内部频率补偿的运算放大器,适合于电源电压范围很宽的单电源使用也适用于双电源工作模式,在推荐的工...

在测量控制系统中常常偠求有一些实时时钟,以实现定时控制、定时测量或延时动作也往往要求有计数器能对外部事件计数,如测电机转速、频率、工件个数等广泛用...

方波和三角波振荡电路利用运放产生高精度的方波和三角波,输出频率由电阻R1、R2和R3以及电容C确定

STM32系列微控制器是ST公司基于Cortex-M3内核的高集成度的微控制器。其功耗在全速72MHz所有模块都打开时也仅仅为36 mA在低功耗模式下...

用CD4046组成的方波信号发生器

本文依据逆变电源的基本原理,利用对现有资料的分析推导提出了一种方波逆变器的制作方法并加以调试。

图5.25所示是用通用I型F006运放组成的三角波转换成方波线路.圖示线路主要是将运算放大器作为微分器的应

图中所示是用通用I型F006运放组成方波转换成三角波线路.图示线路主要是将运算放大器接成积分器应用形

方波振荡器电路 该电路由74HC00组成

0

我要回帖

更多关于 74ls74方波发生器 的文章

 

随机推荐