quartus13.0的波形仿真怎么仿真

21ic官方微信-->
后使用快捷导航没有帐号?
请完成以下验证码
查看: 4960|回复: 9
如何用modelsim对quartus原理图仿真呢
&&已结帖(20)
主题帖子积分
高级技术员, 积分 888, 距离下一级还需 112 积分
高级技术员, 积分 888, 距离下一级还需 112 积分
主题帖子积分
专家等级:结帖率:36%
主题帖子积分
高级技术员, 积分 888, 距离下一级还需 112 积分
高级技术员, 积分 888, 距离下一级还需 112 积分
如何用modelsim对quartus原理图仿真?
程序文件仿真,我会,但原理图如何仿真呢?
主题帖子积分
中级工程师, 积分 3953, 距离下一级还需 1047 积分
中级工程师, 积分 3953, 距离下一级还需 1047 积分
主题帖子积分
专家等级:结帖率:100%打赏:0.00受赏:43.00
主题帖子积分
中级工程师, 积分 3953, 距离下一级还需 1047 积分
中级工程师, 积分 3953, 距离下一级还需 1047 积分
安装MODELSIM&&编写testbench 然后RUN EDA RTL
250水王,种菜浇水,要输就输给理想,要败就败给高手。不可浮躁
主题帖子积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
安装MODELSIM&&编写testbench 然后RUN EDA RTL
weshiluwei6 发表于
楼上正解。。。哈哈
A person afraid of loneliness, afraid to live up to two people !
主题帖子积分
实习生, 积分 42, 距离下一级还需 8 积分
实习生, 积分 42, 距离下一级还需 8 积分
主题帖子积分
专家等级:结帖率:0%
主题帖子积分
实习生, 积分 42, 距离下一级还需 8 积分
实习生, 积分 42, 距离下一级还需 8 积分
怎样编写testbench文件?
主题帖子积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
助理工程师, 积分 1426, 距离下一级还需 574 积分
你可以先在Quartus II环境下把原理图格式的文件转换成语言撒,然后改怎么写testbench就怎么写,而且应该不用转换也能写testbench吧,最好直接用软件生成的测试文件,端口它都帮你定义好了,自己添加内容就行!
A person afraid of loneliness, afraid to live up to two people !
主题帖子积分
实习生, 积分 3, 距离下一级还需 47 积分
实习生, 积分 3, 距离下一级还需 47 积分
主题帖子积分
专家等级:结帖率:0%
主题帖子积分
实习生, 积分 3, 距离下一级还需 47 积分
实习生, 积分 3, 距离下一级还需 47 积分
Error: NativeLink did not detect any HDL files in the project
是不是要把原理图转换为.v文件啊
主题帖子积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
<font color="# 发表于
怎样编写testbench文件?
这个在网上有很多教程的!
主题帖子积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
你做时序测试?
主题帖子积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
主题帖子积分
专家等级:结帖率:100%
主题帖子积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
技术达人, 积分 8086, 距离下一级还需 1914 积分
joyz15 发表于
Error: NativeLink did not detect any HDL files in the project
是不是要把原理图转换为.v文件啊 ...
你是做什么出现问题了?
主题帖子积分
实习生, 积分 9, 距离下一级还需 41 积分
实习生, 积分 9, 距离下一级还需 41 积分
主题帖子积分
专家等级:结帖率:0%
主题帖子积分
实习生, 积分 9, 距离下一级还需 41 积分
实习生, 积分 9, 距离下一级还需 41 积分
本帖最后由 madaozhang 于
10:57 编辑
甘甜之泉水
发帖类勋章
时间类勋章
技术新星奖章
人才类勋章
突出贡献奖章
等级类勋章
湍急之河流
发帖类勋章
技术奇才奖章
人才类勋章
社区建设奖章
等级类勋章
欢快之小溪
发帖类勋章
时间类勋章
时间类勋章
精英会员奖章
等级类勋章
奔腾之江水
发帖类勋章
热门推荐 /2目前越来越多的通信系统采用FPGA进行硬件设计,;仿真是硬件设计流程中较为耗时和烦琐的一环;在这4个步骤中,第2步依赖于设计者的经验和特定设;QuartusII中仿真流程;现在Altera公司的器件均可由QuartusI;vwf文件全称是矢量波形文件(VectorWav;vwf文件格式分析;既然vwf文件是仿真数据的载体,那么必需首先弄清;以时钟信号clk为
目前越来越多的通信系统采用FPGA进行硬件设计,而FPGA设计中非常重要而频繁进行的一环是仿真。仿真能将硬件设计中的逻辑和时序问题及早暴露出来,以便工程师改进设计或调整方案。
仿真是硬件设计流程中较为耗时和烦琐的一环。主要原因有:仿真的激励波形必须由设计者自行创建,测试波形必须人工输入;仿真的结果正确与否必须由设计者自行判断,很难自动化;时序仿真前必须对整个设计做耗时的全编译;仿真过程是计算机软件模拟芯片对测试输入的逻辑处理,通常计算时间消耗很大。
在这4个步骤中,第2步依赖于设计者的经验和特定设计逻辑的复杂程度,第3、4步则由计算机完成,基本上是个定数,只有第一步还具有提高效率的空间。我们在进行实际的FPGA设计处理信号时,针对第1步有大量仿真数据输入的需求,在研究Quartus II激励波形文件的格式后,编程设计了一种将输入数据转化为激励波形的方法。
QuartusII中仿真流程
现在Altera公司的器件均可由Quartus II进行设计。Quartus II除了能完成语法检查、综合、布局布线、生成配置文件和时序分析的设计全流程,还自带仿真功能(Quartus II Simulator)。Quartus II中时序仿真的流程图如图1所示。
vwf文件全称是矢量波形文件(Vector Waveform File),是Quartus II中仿真输入、计算、输出数据的载体。一般设计者建立波形文件时,需要自行建立复位、时钟信号以及控制和输入数据、输出数据信号等。其中工作量最大的就是输入数据的波形录入。比如要仿真仅1KB的串行输入数据量,则手工输入信号的波形要画8000个周期,不仅费时费力而且容易出错。 如果能找到一种简易的将输入数据转化为激励波形的方法,则可以极大提高仿真速度,减少手工操作时间,因此需要研究vwf文件的格式。
vwf文件格式分析
既然vwf文件是仿真数据的载体,那么必需首先弄清楚该文件存放数据的格式,再针对这种格式做一定的变换得到所需要的波形。
以时钟信号clk为例,vwf文件以如下方式存储和表示该信号。
● 说明部分
SIGNAL(“clk”)
_TYPE = NINE_LEVEL_BIT;
SIGNAL_TYPE = SINGLE_BIT;
WIDTH = 1;
LSB_INDEX = -1;
DIRECTION = INPUT;
PARENT = “”;
● 数据部分
TRANSITION_LIST(“clk”)
REPEAT = 1;
REPEAT = 3000;
LEVEL 0 FOR 50.0;
LEVEL 1 FOR 50.0;
在第2部分数据说明中,LEVEL 0表示低电平,LEVEL 1表示高电平,FOR后面跟着是持续时间,REPEAT表示重复次数。手工描画的波形在存储时均被转化为此形式表示,这种形式也会被一一对应地表现为波形。其实这就是一种文本方式描述数据的格式,一些其他波形文件格式(例如.tbl文件)也是与此相似的。
串行数据转化为vwf文件的方法
在用软硬件接收和处理通信线路上某种协议的数据时,通常都会取得一定量的典型数据做试验使用,这些典型数据就会被用作仿真的输入数据。多数通信线路传输串行数据流,硬件系统接收的输入信号是串行的。
将试验数据逐比特地转化为激励波形就是建立测试激励的主要工作。由上一部分对vwf文件格式分析可知,数据流会被映射成电平的持续时间,而持续时间是时钟周期的整数倍。数据流可以看作是0、1比特流的单向延伸,确定了0、1流即可将对应的持续时间计算出来,从而给出波形的文本描述。
因此只要能将试验数据的0、1流识别出来,再以vwf文件格式为基准生成对应文本,就能将输入数据自动地转化为激励波形。
数据文件到串行激励波形的编程实现
识别数据的0、1游程算法(C或C++)如下。
// rl即为所识别的0、1比特游程,fpin是输入数据文件的指针,datin是无符号字符 datin=fgetc(fpin);
tmp=datin&0x80;
while(!feof(fpin)) {
for(i=n;i&8;i++){
if (((datin&&i)&0x80) == tmp)
while ((i==8) && (!feof(fpin))) {
datin=fgetc(fpin);
for(i=0;i&8;i++){
if (((datin&&i)&0x80) == tmp)
// tmp=0时,rl为0游程;反之rl为1游程
剩下的就是将游程转换为.vwf文件格式输出。
// clk_t设为一个时钟周期对应的ns数或参照vwf文件说明的单位
// fpout为输出文本文件的指针
time_last=rl*clk_t;
fprintf(fpout,” LEVEL 1 FOR %.1f; ”,time_last);
fprintf(fpout,” LEVEL 0 FOR %.1f; ”,time_last);
} //此括号对应第一个while()
最后将输出的文本复制到.vwf文件相应的信号数据部分即可。
对于并行数据输入,该方法除了形式上要麻烦一些并无本质的不同。另外,在硬件逻辑中可以很容易用串并转换将串行数据变换为并行数据,在仿真中并行数据输入问题可以等效地转换为串行数据输入。我们在进行网络数据HDLC协议解析的FPGA设计中,对试验数据进行输入仿真时使用了该方法,加快了仿真的速度。
各位老师好!我最近用matlab的fdatool设计了fir滤波器,并将设计的滤波器移植到了fpga上面,并且用了quartus软件进行了波形仿真,但觉得波形仿真生成的16进制数据不直观就想放到matlab上面来图形显示滤波的波形,最后在网上找了一些m函数进行波形文件tcl到matlab可执行的文件的转换,但是问题又来了波形仿真文件上面的16进制数据是有符号之分的,但是转换到matlab上执行的时候matlab没有区分数据的符号,导致图形的正部分和负部分有一个很大的振幅差。想请问坛里的老师具体要怎么修改m函数,自己也是matlab新手,向各位学习了。
%以只读方式打开.tbl文件
fid = fopen(&#39;D:\fir24.sim.tbl&#39;,&#39;r&#39;);
%波形文件我是放在了D盘 data = fscanf(fid,&#39;%s&#39;);
fclose(fid);
b = find(data == &#39;=&#39;);
%找出“=”的下标
number = length(b);
%取得文件长度
for i = 1:number
if data(b(i) - 1) == &#39;0&#39;%滤除说明中的“=”
%取出幅度值,数据为十六进制数
c_s(j,1) = data(b(i) + 1);
c_s(j,2) = data(b(i) + 2);
d_s = hex2dec(c_s);
%将十六进制数转化为十进制数 stem(d_s);
三亿文库包含各类专业文献、外语学习资料、应用写作文书、文学作品欣赏、生活休闲娱乐、行业资料、专业论文、高等教育、37在QuartusII波形仿真技巧等内容。 
 在quartus ii中进行波形仿真步骤_IT/计算机_专业资料。详细介绍了在quartus ii 中如何进行仿真 以正弦波仿真为例: 当语言描述编译通过后然后再进行仿真 将引脚分类 ...  因为高版本的Quartus II 中不自带波形仿真软件,需联调Modelsim进行波形仿真。本...另外一个方法是,内 容感应帮助,以快速查找指定话题的文档。在大多数的应用中,...  功能仿真仿真是指利用 QuartusII 软件对我们设计的电路的逻辑功能进行验证, 看看...因此在进行仿真之前, 我们需要先建立一个输入信号波形文件。方法和步骤如下: 1...  QuartusII 与 Modelsim 仿真的区别: 用 QuartusII 写了一个隔直滤波器,运用 QuartusII9.1 自带的波形仿真,仿真结果与 matlab 仿真后的结果比较近似。 仿真结果为...  QUARTUSⅡ10波形仿真(ModelSim)入门指南_工学_高等教育_教育专区。QUARTUSⅡ10波形仿真(ModelSim)入门指南,适合第一次使用QUARTUSⅡ10的初学者,主要介绍ModelSim-Altera...  实际的 FPGA 设计处理信号时,针对第 1 步有大量仿真数据输入的需求,在研究 Quartus II 激励波形文 件的格式后,编程设计了一种将输入数据转化为激励波形的方法。...  Quartus 模拟波形显示
10:35 软件 : quartus ii 7.2 主题 :在 quartus ii 步骤 : 打开工程 (原来已经建好的, 并且设置好了仿真文件.vwf) 接...  quartus9.0仿真实例操作_电子/电路_工程科技_专业资料。在 Quaruts II 9.0 ...新建仿真波形文件;选择如下所示,选择好波形文件后,点击 OK 17、弹出对话框,如...  quartus9.0 EDA波形仿真_计算机软件及应用_IT/计算机_专业资料 暂无评价|0人阅读|0次下载|举报文档quartus9.0 EDA波形仿真_计算机软件及应用_IT/计算机_专业资料...quartus自带的仿真软件怎么用【哈尔滨工业大学吧】_百度贴吧
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&签到排名:今日本吧第个签到,本吧因你更精彩,明天继续来努力!
本吧签到人数:0成为超级会员,使用一键签到本月漏签0次!成为超级会员,赠送8张补签卡连续签到:天&&累计签到:天超级会员单次开通12个月以上,赠送连续签到卡3张
关注:204,180贴子:
quartus自带的仿真软件怎么用收藏
怎么添加输入的波形啊,不会
读大专?不如留学读「3+1本硕连读」海外名校本科+硕士「双文凭/双学历/每年5万」高大上!留学读本硕连读「高大上」「每年5万」「海外名校本科+硕士」「双文凭/双学历」
真的不会来大神啊
是 signal tab ii吗
好熟悉 这不是电路仿真实验用的吗
就选i u啥的啊
这不是modelsim吗,21系的吧
登录百度帐号推荐应用本帖子已过去太久远了,不再提供回复功能。

我要回帖

更多关于 quartus仿真流程 的文章

 

随机推荐