如何使用nios ii signaltapp ii确认内存控制器成功校准

温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
阅读(19783)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_',
blogTitle:'SignalTap II逻辑分析仪的使用',
blogAbstract:'
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}FPGA设计开发软件Quartus II的使用技巧之: SignalTap II在线逻辑分析仪的使用方法-可编程逻辑-与非网
5.9&&SignalTap&II的使用方法
随着设计复杂度的增加,传统的设计验证方法需要其他技术和工具的补充,因为这些可编程芯片系统(SOPC)进行完全的真实模拟是不可在适当的时间内完成。而且,设计规模的陡增必然需要新的工具来观察已编程期间的内部操作。尤其是随着第三方IP使用的增加,它们需要获取内部探测来验证操作,使其和设计的其他部分相分离。最后,由于封装技术的提高,必须开发新的方法以便对日趋小型化和大规模封装的硬件(如BGA)进行验证。
5.9.1&&SignalTap&II介绍
Quartus&II&5.0支持SignalTap&II,满足这些需求。SignalTap允许设计者在运行期间同时监视内部信号。通过下载电缆或传统的分析设备连接到用户的PC板卡上,便可以观察到这些信号的波形。使用SingnalTap就类似于使用逻辑分析仪,能够设置初始化、触发(内部或外部)和显示条件以及观察的内部信号,用户以此可以研究设计的运行状态。用户的分析参数可以被编译为嵌入逻辑分析仪(ELA),它和设计的其他数据一起配置FPGA。Altera全系列FPGA器件支持SignalTap,采用Byteblaster&II或者USB&blaster作为器件的下载电缆。
若没有采用SignalTap接口,用户必须更改设计以探测内部逻辑的连线。设计的内部连线必须连接到顶层设计的管脚上。如果结点处于庞大分级设计的下层,那么改起来很复杂,同时很耗时,而且破坏了设计的完整性。ELA接口支持拖放选择用于逻辑分析的连线。这个接口根本就无需改变设计。选择了ELA的输入通道之后,需要重新编译设。更新后的配置文件重新配置器件后,标准逻辑分析仪就会可以检测那些被连接到器件管脚的内部信号了。
输入通道的样值存储在器件的嵌入存储块内,ELA功能监测输入通道是否发生触发事件。一旦ELA存储了满足触发状态的足够数据,ELA停止采样监测输入通道。然后数据上载到主机,显示在Quartus的波形编程器中。数据的主载速率取决于JTAG&TCK信号的速率。ELA功能会使用设计本身占用以外的器件资源。ELA是可参数化的,因此能够使用有效的资源。
SignalTap&II支持以用户指定的格式识别和显示总线使所捕获的数据更加易懂。SignalTap&II嵌入式逻辑分析仪能够以等价的十六进制、无符号十进制、二元补码形式的符号十进制,符号大小表示法表示的符号十进制、八进制、二进制、8比特ASCII等格式来显示总线。用户还可以选择条形图或者线性图表示总线时间关系。
SignalTap&II支持多文件输出数据结果,嵌入式逻辑分析仪可以采用矢量波形(vwf)、矢量表(tbl)、矢量文件(vec)、逗号分割数据(csv)和Verilog数值更改转存(vcd)文件格式输出所捕获的数据。这些文件格式可以被第三方验证工具读入,显示和分析SignalTap&II嵌入式逻辑分析仪所捕获的数据。
5.9.2&&使用SignalTap&II操作流程
若要使用SignalTap&II逻辑分析器,必须先建立SignalTap&II文件(stp)此文件包括所有配置设置并以波形显示捕获到的信号。一旦设置了SignalTap&II文件,就可以编译工程,对器件进行编程并使用逻辑分析器采集和分析数据。
以下步骤描述设置SignalTap&II文件和采集信号数据的基本流程。
(1)建立新的SignalTap&II文件。
(2)向SignalTap&II文件添加实例,并向每个实例添加节点。可以使用Node&Finder中的SignalTap&II滤波器查找所有预综合和布局布线后的SignalTap&II节点。
(3)分配一个采样时钟。
(4)设置其他选项,例如采样深度和触发级别等。
(5)完全编译工程文件。
(6)下载程序到FPGA中。
(7)运行硬件并打开SignalTap&II观察信号波形。
5.9.3&&设置触发器
如图5.39所示是SignalTap&II逻辑分析仪的界面。其中实例管理器对话框识别出设计中
图5.39&&SignalTap&II逻辑分析仪
等待测试的所有验证过的逻辑分析仪,它们可以用来捕获并存储数据。该对话框还可以对用来生成每个分析仪的资源进行估算。
信号配置面板用于设置采样信号和触发信号。采样时钟信号支持超过200MHz频率,采样深度最大高达128K。
数字示波器或逻辑分析仪中,触发器是一个重要的组成部分。触发器的性能很大程度上决定了仪器的性能。触发器是逻辑级别、逻辑边缘触发方式、逻辑样本等逻辑事件的组合。通过不同的触发方式实现对信号的不同采样。
SignalTap接口定义的触发事件中,每个事件的输入通道可以监测10个基本或高级的触发级别。触发级别向SignalTap&II逻辑分析仪指明何时开始采集数据,10个触发级别为设置复杂的触发条件提供了足够的灵活性,帮助验证工程师分离错误或者找出问题原因,如果设置了多触发级别,直到所有的触发条件顺序满足后,才开始采集数据。
触发位置允许指定在选定实例中在触发器之前和触发器之后应采集的数据量。分段的模式允许通过将存储器分为周密的时间段,为定期事件捕获数据,而无需分配很深的采样深度。其中对环形缓冲模式支持4个触发位置;这样,当触发条件满足后,用户可以更多地控制应该捕获并显示什么样的数据。
&&&&前&触发位置向软件表明,在达到触发条件前,保存所发生采样的12%,达到触发条件后,再保存采样的88%。&
&&&&中&触发位置向软件表明,在达到触发条件前,保存所发生采样的50%,达到触发条件后,再保存采样的50%。&
&&&&后&触发位置向软件表明,在达到触发条件前,保存所发生采样的88%,达到触发条件后,再保存采样的12%。&
&&&&连续&触发位置向软件表明,以环形缓冲的方式进行连续采样保存,直到用户中断为止。
关注与非网微信 ( ee-focus )
限量版产业观察、行业动态、技术大餐每日推荐
享受快时代的精品慢阅读
华清远见(.cn)是国内领先嵌入师培训机构,2004年注册于中国北京海淀高科技园区,除北京总部外,上海、深圳、成都、南京、武汉、西安、广州均有直营分公司。华清远见除提供嵌入式相关的长期就业培训、短期高端培训、师资培训及企业员工内训等业务外,其下属研发中心还负责嵌入式、Android及物联网方向的教学实验平台的研发及培训教材的出版,截止目前为止已公开出版70余本嵌入式/移动开发/物联网相关图书。企业理念:专业始于专注 卓识源于远见。企业价值观:做良心教育、做专业教育,更要做受人尊敬的职业教育。
在完成对Mobileye的收购之后,英特尔宣布要打造出100辆等级为Level 4的自动驾驶汽车。不过,英特尔并没有明确表示能否在本年内完成这个计划,它只是声称“今年将部署首辆汽车。”
发表于: 08:28:00
对大多数人来说,微芯片是一些长着小小的金属针,标着看似随机的字母或数字的字符串的黑盒子。但是对那些懂的人来说,有些芯片就像名人一样站在红毯上。
发表于: 16:25:58
FPGA器件的选用同其它通用逻辑器件不同,除考虑器件本身的性能外,软件下具也很重要。目前市场上已有的FPGA器件生产厂家有20多个,而设计软件除生产厂家自行研制的软件外还有50多种。
发表于: 15:40:00
每两年一度、每届有上万个团队参加的全国高校最大的赛事“全国大学生电子设计竞赛”今年正如火如荼地准备中,今年在大赛中在仪器仪表类增加了FPGA的开发系统。
发表于: 10:43:00
这两天,想必大伙一定被两则地震消息给刷屏了,一个是08月08日21时19分发生在四川阿坝州九寨沟县发生7.0级地震;另一个是8月9日7时27分,在新疆博尔塔拉州精河县发生 6.6级地震。有网友疑问,为什么地震仪不能提前预测并大幅度减少伤亡呢?地震仪的技术到底发展到什么程度了?
发表于: 18:01:08
《16位单片机C语言编程:基于PIC24》是关于16位PIC微控制器C语言编程的经典著作,采用飞行员训练教程的模式,历经从“首次飞行”至“自由翱翔”的全训练过程。
发表于: 13:22:37
每两年一度、每届有上万个团队参加的全国高校最大的赛事“全国大学生电子设计竞赛”今年正如火如荼地准备中,今年在大赛中在仪器仪表类增加了FPGA的开发系统。
发表于: 14:00:00
日,由与非网、爱板网、摩尔吧联合主办,贸泽电子(Mouser Electronics)、莱迪思(Lattice)半导体、苏州思得普公司赞助的2017年万人FPGA挑战大赛正式启动,面向有意向学习FPGA的各大高校学生以及电子爱好者。
发表于: 17:06:20
专注于新产品引入 (NPI) 与推动创新的领先分销商贸泽电子 (Mouser Electronics) 宣布即日起备货Terasic Technologies的DE10-Nano开发套件。
发表于: 15:17:00
日,由与非网、爱板网联合主办,Mouser、Lattice、苏州思得普公司赞助的2017年万人FPGA挑战大赛正式启动,此次大赛的主题为“只有更宽容的平台,才能造就更强大的人才”,重点面向有意向学习FPGA的各大高校学生以及电子爱好者。
发表于: 09:08:31
有偿征稿 | 2017年能耗过半,年初定的哪些“小目标”没有阵亡? ……
由教育部学位与研究生教育发展中心、全国工程专业学位研究生 教育指导委员会、中国电子学会共同主办的“华为杯”第十二届中国 研究生电子设计竞赛将于2017 年 8 月举行决赛!来自三百余所高校及科研院
第十二届中国研究生电子设计竞赛自2017年3月正式启动,覆盖八大赛区,经过初赛决赛两个阶段重重选拨,终于迎来强者之间最后的角逐,期待学子们的精彩表现!
直播时间: 9:00-1
随着直流无刷电机(BLDC)和永磁同步电机(PMSM)在诸多领域有着广泛应用,并且用量也在逐步增长,电机的驱动和控制技术做为核心部分直接影响到产品性能,控制技术之一的FOC控制技术(磁
“这比赛确实将我从大学里整天傻玩混日子的状态,引入电路和程序的奇妙世界,从此不能自拔。也因为这比赛,认识了志同道合的一帮朋友,画图刷板采购焊接拼赛道调程序调程序调程序……调到天昏地暗没有出路,就在
第十二届全国大学生“恩智浦”杯智能汽车竞赛全国总决赛将于8月23日至8月26日在常熟理工学院举行,来自全国各地的169个高校的参赛队将汇聚一堂。
哪些队伍将从预赛中脱颖而出?传统强队
旗下网站:
与非门科技(北京)有限公司 All Rights Reserved.
京ICP证:070212号
北京市公安局备案编号: 京ICP备:号君,已阅读到文档的结尾了呢~~
具体讲解signal tap logic analyzer 使用方法,以及每个选项的具体意义
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
signal_tap进行逻辑分析使用方法,实例演示
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口如何用Signaltap
ii观察器件内部信号! - yuedx的个人空间 - 中国电子顶级开发网(EETOP)-电子设计论坛、博客、超人气的电子工程师资料分享平台
- Powered by X-Space
这里的日志均为读书时转载的,再次澄清说明~~~
如何用Signaltap
ii观察器件内部信号!
& 17:13:42
启动 QuartusII6.0,打开 D:\exam 下的 count.qpf 工程。
在 File 菜单下,点击 New 命令,新建一个 SignalTap II 文件。
在 Edit 菜单下,点击 Add Nodes... 命令,向 SignalTapII 中加入 clk、rst、dir、cnt、q 信号。
在 Signal Configuration 窗口里,将 Clock 一栏设为 clk。
在信号窗口里,去掉除 dir 信号以外的信号的 Trigger Enable 选项。在 dir 信号的 Trigger Level 一栏里点击右键,在弹出菜单下,点击 Rising Edge 命令。

我要回帖

更多关于 signaltap 的文章

 

随机推荐