显示器怎么切换信号源源,都一一试过。

示波器实验中信号发生器发出的正弦波有几个参数可调? 示波器实验中信号发生器能发出几种波形信号?_百度知道
示波器实验中信号发生器发出的正弦波有几个参数可调? 示波器实验中信号发生器能发出几种波形信号?
示波器实验中信号发生器发出的正弦波有几个参数可调?示波器实验中信号发生器能发出几种波形信号?急用 求解答
我有更好的答案
基本可以认为是好的,自测是对的。示波器都有校准信号当然可以通过测试了,有测量设备就可以一一判断;再用示波器去测量信号发生器产生的波形及其各种参数以判断信号发生器的好坏
采纳率:62%
为您推荐:
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。当前位置: >>
基于FPGA函数信号发生器的设计与实现
江苏大学 硕士学位论文 基于FPGA函数信号发生器的设计与实现 姓名:黄振华 申请学位级别:硕士 专业:控制理论与控制工程 指导教师:李正明
江苏大学硕士学位论文摘要任意波形发生器已成为现代测试领域应用最为广泛的通用仪器 之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十 世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形 的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA) 具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地 实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数波形发生器的研究背景和DDS的理论。然后 详尽地叙述了用FPGA完成DDS模块的设计过程,接着分析了整个设 计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器 功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。最后 就这三个部分分别详细地进行了阐述。 在实现过程中,本设计选用了Altera公司的EP2C35F672C6 i笛片 作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速 性。在控制芯片上选用了三星公司的上¥3C2440作为控制芯片。本设 计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文 利用A1tera的设计工具Quartus II并结合Verilog-HDL语言,采用 硬件编程的方法很好地解决了这一问题。论文最后给出了系统的测量 结果,并对误差进行了一定分析,结果表明,可输出步进为0.01Hz, 频率范围0.01Hz'---20MHz的正弦波、三角波、锯齿波、方波,或 0.01Hz'--'20KHz的任意波。通过实验结果表明,本设计达到了预定的 要求,并证明了采用软硬件结合,利用FPGA技术实现任意波形发生 器的方法是可行的。关键词:函数发生器,直接数字频率合成,现场可编程门阵列 江苏大学硕士学位论文ABSTRACTArbitraryWaveform Generator(AWG)isdomains,whichrepresentsoneof the most popular instruments in developing direction of signalamodemtestingthesources.Direct Digital frequencySynthesis(DDS)advancedearly in1 970s isfulldigital technology for frequency synthesis,its LUT method for synthesizing waveform adapts to generate arbitrary waveform.Field Programmable Gate featuresArray(FPGA)hascantheof large scale integration,high working frequency andcanrealize largememory,so FPGAeffectively realize DDS.The EP2C35F672C6 of Corporation Altera is chosen to do the main digital processing work,which is based is chosenas a onits large scale and high speed.The¥3C2440 MCUcontrol chip.In thisdesign,howtodesignthe FPGA chipandtheinterface between the FPGAand thecontrol chip is theproblem.Withthe method ofsoftware and hardware programming,the design used the software Quartus II andlanguage verilog―HDL solves it successfully.In this paper,the principle of DDS and basis of EDAtechnologyis introduced firstly.The problems met in the design are three parts:master chip,FPGAanalyzeddeviceand the whole function is partitioned into and peripheral hardware.The threepartsaredescribedindetailindependently.The disadvantage and things needto advance are also listed.At the endof the dissertation,the measurement result of the system is given analyzed.It is shown the wave,oraanditserrorisAWG Canoutputasine wave,atriangle wave,asawteethwith the square wave within the frequency range from 0.0 1 Hz to 20MHzstep of 1 0mHz,or output 20kHz.Throughananarbitrary waveform within therangefrom 0.01 Hz toexperiment,it is testified that the design meets the requirementuseplaned and theway tosoftwareandhardware programming method and DDS Generator is available.technology to realize FunctionalWaveformKeywords:DDS;FPGA;Functional Waveform GeneratorU 学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定, 同意学校保留并向国家有关部门或机构送交论文的复印件和电子版, 允许论文被查阅和借阅。本人授权江苏大学可以将本学位论文的全部 内容或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫 描等复制手段保存和汇编木学位论文。保密口,本学位论文属于在年解密后适用本授权书。不保密圈。学位论文作者签名董獬签字日期:加1年‘月7日翮虢如眨签翱期冲;7日, ● 独创性声明本人郑重声明:所呈交的学位论文,是本人在导师的指导下,独立进 行研究工作所取得的成果。除文中已经注明引用的内容以外,本论文 不包含任何其他个人或集体已经发表或撰写过的作品成果。对本文的 研究做出重要贡献的个人和集体,均己在文中以明确方式标明。本人 完全意识到本声明的法律结果由本人承担。学位论文作者签名:萎啦甲日期:矽t,1年易月 江苏大学硕士学位论文第一章绪论1.1背景与意义波形发生器uo即通常所说的信号发生器是一种常用的信号源,广泛应用于 通信[2I,雷达[3][4l,测控[5|,电子对抗[6][7]以及现代化仪器仪表[8]等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,和示波器、电压表、频率计等仪器一样是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等 标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器(ArbitraryWaveformGenerator,简称AWG)十分有必要,而且意义重大。一般传统的信号发生器都采用谐振法,即用具有频率 选择性的回路来产生正弦振荡,获得所需频率。这种信号发生器虽然具有输出信 号频率范围宽,结构简单等优点,但输出波形单一,不能产生任意波形,且频率 稳定度和准确度较差,频率稳定度一般劣于10。/分,频率准确度一般在0.5%以 下,对于作为精密测量用的信号发生器,其频率稳定度一般要求达到10一一10~。 因此传统的信号发生器已经越来越不能满足现代电子测量的需要,正逐步退出历史舞台[16]。而基于频率合成技术制成的信号发生器,由于可以获得很高的频率稳定度和精确度,因此发展非常迅速,尤其是最近随着现代电子技术的不断发展,其应用更是有了质的飞跃。1.2国内外动态1.2.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高 江苏大学硕士学位论文 稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统, 因此被广泛用于自动控制系统、振动激励、通讯和仪器仪表领域¨引。在70年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介 于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的 波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的凋节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70年代后,微处理器的出现,可以利用处理器、A/D和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形瞄4|。这时期的波形发生器多 以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的 波形。 90年代木,出现几种真正高性能、高价格的函数发生器心…、但是HP公司 推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和 HPl776A波形发生软件组成。HP8770A实际上也只能产生8中波形,而且价格 昂贵。不久以后,Analogic公司推出了型号为Data.2020的多波形合成器,Lecroy公司生产的型号为9100的任意波形发生器等。到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过 GHz的DDS-心H-"片[38][3 9l,同时也推动了函数波形发生器的发展,2003年,Agilent 的产品33220A能够产生17种波形,最高频率可达到20M,2005年的产品N6030A 能够产生高达500MHz的频率,采样的频率可达1.25GHz。由上面的产品可以看 出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:1.过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形 发生器能应用于越来越广的领域。波形发生器软件的开发正使波形数据的输入变 得更加方便和容易。波形发生器通常允许用一系列的点、直线和固定的函数段把2 江苏大学硕士学位论文 波形数据存入存储器。同时可以利用一种强有力的数学方程输入方式,复杂的波 形可以由几个比较简单的公式复合成V:f(t)形式的波形方程的数学表达式产生。从而促进了函数波形发生器向任意波形发生器的发展,各种计算机语言的飞速发展也对任意波形发生器软件技术起到了推动作用。目前可以利用可视化编程语言 (如VisualBasic,VisualC等等)编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。 2.与VXI资源结合。目前,波形发生器由独立的台式仪器和适用于个人计 算机的插卡以及新近开发的VXI模块。由于VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用VXI系统测量产生复杂的波形,VXI的系统资源提供了明显的优越性,但由于开发VXI模块的周期长,而且需要专门的VXI 机箱的配套使用,使得波形发生器VXI模块仅限于航空、军事及国防等大型领域。在民用方面,VXI模块远远不如台式仪器更为方便瞄圳。 3.随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起 来。不过现在新的台式仪器的形态,和几年前的己有很大的不同。这些新一代台 式仪器具有多种特性,可以执行多种功能。而且外形尺寸与价格,都比过去的类 似产品减少了一半。1.2.2国外波形发生器产品介绍早在1978年,由美国Wavetek公司和日本东亚电波工业公司公布了最高取 样频率为5MHz,可以形成256点(存储长度)波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源,经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能 有了飞速的提高。变得操作越来越简单而输出波形的能力越来越强。波形操作方 法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形 成的操作性越好[31]。 以下给出了几种波形发生器的性能指标,从中可以看出当今世界上重要电子 仪器生产商在波形发生器上的研制水平。3 江苏大学硕士学位论文袁1-1波形发生器产品比较公司Tektronix Tektronix横河电机AG5100肋yetek295型号 最高采用频率 通道数 垂直分辨率 存储容量 输出电压AG320AWG7104GMS/s216MS/s2lGMS/S250MS/S412bit8bit8bit12bit64K8MlM64K10V2.5V2V15V1.3函数波形发生器的几种实现方式任意波形发生器得实现方案主要有程序控制输出、DMA输出、可变时钟计 数器寻址和直接数字频率合成等多种方式。 1.3.1程序控制输出方式 计算机根据波形的函数表达式,计算出一系列波形数据瞬时值,并定时地逐 个传送给D/A转换器,合成出所需要的波形。这种方式具有电路简单、实现方便等特点。但数据输出定时不准确,会影响信号的频率和相位;波形数据输出依靠指令的执行来完成,当需要同时输出多个信号时,相邻信号通道的输出存在时间差;受计算机运行速度的限制,输出信号的频率较低。1.3.2DNA输出方式DMA(direct memo巧access)方式输出不依赖于程序的执行,由DMA控制器 申请总线控制权,通过地址总线给出存储器的地址信号,同时选通存储器和D/A转换器,在两者之间建立直接的数据通道,使存储器相应单元中的波形数据传送给D/A转换器转换后输出信号。DMA方式输出信号,可以大大提高信号的数据 输出速率。但也存在一些问题,如波形输出期间,微处理器因为失去了总线控制4 江苏大学硕士学位论文权,无法进行其他操作;在一个DMA操作中,只能在一个D/A转换器和存储器 之间传送数据,无法实现多通道的信号输出。 1.3.3可变时钟计数器寻址方式 采用可变时钟计数器寻址波形存储器表,该方法是一种传统型任意波形发生器。原理框图如图1.1所示。图卜1可变时钟计数器寻址的任意波形发生图中的计数器实际上是一个地址发生器,计数器的触发时钟脉冲由一个频率 可以控制的频率发生器产生,通过改变频率发生器的频率设置值,实现调整计数器产生的地址变化速率,从而改变输出的任意波形的频率。计数器产生的地址码提供读出存储器中波形数据所需要的地址信号,波形数据依次读出后送至高速 D/A转换器,将之转变为模拟量,经低通滤波器后输出所需的波形。可见传统的任意波形发生器采用可变时钟和计数器寻址波形存储器表,此方法的优点是产生的地址连续,输出波形质量高。但其取样时频率较高,对硬件的 要求也较高,而且常需多级分频或采用高性能的锁相环,其中分频式的任意波形发生器频率分辨率低,锁相式的任意波形发生器频率切换速度慢。1.3.4直接数字频率合成方式DDS(direct digitalsynthesizer)[9]是在一组存储器单元中按照信号波形数据点的输出次序存储了将要输出波形的数据,在控制电路的协调控制下,以一定的速率,周而复始地将波形数据依次发送给D/A转换器转换成相应的模拟信号。由于用硬件电路取代了计算机的控制,信号输出稳定度高。如需更新输出信号,不必改动任何线路和元器件,只需改写存储器中的波形数据即可。更主要的是, 可以将微处理器从信号输出的负担中解脱出来。如图1―2为其工作流程图。 江苏大学硕士学位论文图卜2直接数字频率合成方式的任意波形发生器1.4本设计的主要工作本课题主要包括波形发生器硬件电路设计与实现和任意波形数据产生,本人 主要完成工作如下:1.基于FPGA的DDS模块电路的实现采用A1tera公司的EP2C35F672C6芯片作为产生波形数据的主芯片,通过硬件编程语言实现DDS模块电路,这部分工作需要熟悉DDS原理,FPGA的开发流程,verilog语言编程和QuartusII的开发环境。 2.ARM控制模块的设计 ARM控制芯片与键盘和显示电路的设计,这部分工作需要熟悉嵌入式系统, 理解ARM接口电路的原理和功能,PROTEL工具的使用。 3.系统软件设计 系统软件设计主要包括嵌入式LINUX操作系统的移植,相关驱动程序的移 植,应用程序主要包括:键盘处理程序的编写,频率及相位控制程序的编写,液晶显示控制程序的设计,波形数据更新控制程序的设计,这部分工作需要深入理解单片机的硬件环境和指令系统,熟练使用ARM与LINUX环境下的C语言及仿真 器,了解QT的开发环境。6 江苏大学硕士学位论文第二章直接数字频率合成器的原理及性能2.1频率合成器简介2.1.1频率合成技术概述 频率合成器是现代电子系统的重要组成部分,它作为电子系统的“心脏”,在通信、雷达、电子对抗、导航、仪器仪表等许多领域中得到广泛的应用。频率合成理论早在30年代就丌始提出,迄今为止已有70年的发展历史。所谓的频率合成就是将一个高精度和高稳定度的标准参考频率,经过混频、倍频与分频等对它进行加、减、乘、除的四则运算,最终产生大量的具有同样精确度和稳定度的 频率源。频率合成大致经历了三个主要阶段:直接频率合成;采用锁相技术的间 接频率合成;直接数字频率合成。 早期的频率合成方法称为直接频率合成。它利用混频器、倍频器、分频器与带通滤波器来完成四则运算。直接频率合成能实现快速频率变换、几乎任意高的频率分辨力、低相位噪声及很高的输出频率。缺点是直接合成由于使用了大量硬 设备如混频器、倍频器、分频器、带通滤波器等,因而体积大、造价高。此外寄生输出大这是由于带通滤波器无法将混频器产生的无用频率分量滤尽。而且频率 范围越宽,寄生分量也就越多。而这些足以抵消其所有优点。直接频率合成技术的固有缺点在间接频率合成技术中得到了很到的改善。间 接频率合成又称锁相频率合成,采用锁相环路(PLL)技术对频率进行四则运算, 产生所需频率。锁相环路(PLL)是一个能够跟踪输入信号相位的闭环自动控住 系统。早在1932年DeBellescize提出的同步检波理论中首次公布发表了对锁相环路的描述。但是由于其复杂的技术原理直到1947年锁相环路才第一次用于电视接收机水平和垂直的同步扫描。它的跟踪性能及低噪声性能得到人们的重视得 到迅速发展。它在无线电技术的各个领域得到了很广泛的应用。但是锁相频率合 成器也存在一些问题,以致难于满足合成器多方面的性能要求。主要表现在高频 率分辨率与快速转换频率之间的矛盾。 直接数字频率合成即DDS,它是目前最新的产生频率源的频率合成技术。 这种技术是用数字计算机和数模变换器来产生信号。完成直接数字频率合成的办7 江苏大学硕士学位论文法,或者是用计算机求解一个数字递推关系式。或者是查阅表格上所存储的波形值。目前用的最多的是查表法。这种合成技术具有相对带宽很宽,频率切换时问 短(ns级),分辨率高(uHz),相位变化连续,低相位噪声和低漂移,数字调制功能,可编程及数字化易于集成,易于调整等一系列性能指标远远超过了传统频率 合成技术所能达到的水平,为各种电子系统提供了优于模拟信号源性能的高质量的频率源。目前它正朝着系统化,小型化、模块化和工程化的方向发展,性能越来越好,使用越来越方便,是目前应用最广泛的频率合成器之一。2.1.2频率合成器主要指标信号源的一个重要指标就足能输出频率准确町调的所需信号。一般传统的信 号发生器采用谐振法,即用具有频率选择性的正反馈州路来产生正弦振荡,获得 所需频率信号,但难以产生大量的具有同一稳定度和准确度的不同频率。利用频 率合成技术制成的信号发生器,通常被称为频率合成器。频率合成器既要产生所 需要的频率,又要获得纯净的信号。频率合成器的主要指标[10][11]如下: 1.输出频率范围(fmin~fm舣):指的是输出的最小频率和最大频率之问的变 化范围。2.频率稳定度:指的是输出频率在一定时间间隔内和标准频率偏差的数值,它分长期、短期和瞬时稳定度三种。 3.频率分辨率:指的是输出频率的最小间隔。 4.频率转换时问:指的是输出由一种频率转换成另一频率的时间。 5.频谱纯度:频谱纯度以杂散分量和相位噪声柬衡量,杂散分量为谐波分量 和非谐波分量两种,主要由频率合成过程中的非线性失真产生,相位噪声是衡量 输出信号相位抖动大小的参数。 6.调制性能:指的是频率合成器是否具有调幅(AM)、调频(FM)、调相(PM) 等功能。2.2DDS原理DDS是一种全数字的频率合成方法,其基本结构主要由相位累加器、波形8 江苏大学硕士学位论文ROM、D/A转换器和低通滤波器四个部分构成,如图2.1所示。图2-1 DDS结构原理图图2-1中相位累加[11]器结构如图2-2所示。列图2-2相位累加器结构图相位累加器由一个N位的加法器和一个N位的寄存器构成,通过把上一个时钟的累加结果反馈回加法器的输入端而实现累加功能。从而使输出结果每一个 时钟周期递增K。这里N为相位累加器的字长,K称为频率控制字。波形ROM示意图如图2.3所示。相位量化序列地址数据波形幅度量化序列波形ROM图2-3波形ROM示意图其中相位累加器字长为N,DDS控制时钟频率为£,频率控制字为K。DDS 直接从“相位”的概念出发进行频率合成。相位累加器由加法器与累加寄存器级 联构成。每来一个时钟脉冲£,加法器将频率控制字K与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使q 江苏大学硕士学位论文加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合 成信号的相位,相位累加器的溢出频率就是DDS输出的信号频率。DDS的核心就是相位累加器,利用它来产生信号递增的相位信息,整个DDS 系统在统一的参考时钟下工作,每个时钟周期相位累加器作加法运算一次。加法运算的步进越大,相应合成的相位值变化越快,输出信号的频率也就越高。对于幅值归一化的jF弦波信号的瞬时幅值完全由瞬时相位来决定,因为缈=dO(t)/at,所以相位变化越快,信号的频率越高。ROM表完成将累加器相位信息转换为幅 值信息的功能。再由D/A完成数字抽样信号到迮续时域信号的转换,D/A输出 的台阶信号再经低通滤波器平滑可以得到精确的连续正弦信号波形。 相位累加器利用Nbit二进制加法器的模溢出特性来模拟理想jF弦波的2Ⅱ 相位周期。相位累加器输出和ROM输出可分别理解为理想正弦波相位信号和时 域波形的时钟抽样。用相位累加器输出的数据作为波形存储器的相位取样地址,这样就可以把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值 转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅 值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样 分量,以便输出频谱纯净的J下弦波信号。 假设,相位累加器字长为N,DDS控制时钟频率为fc,时钟周期为Tc-1/£, 频率控制字为K。系统工作时,累加器的单个时钟周期的增量值为Atp=Kx2n/2N,相应角频率为m=Ag/At=Ag/T。=29xKx2Nxf。,所以DDS的输出频率为fODs=o/2n=Kxf,J2N,DDS输出频率步进间隔为△fDDs=fd2N。 因DDS输出信号是对正弦波的抽样合成的,所以应满足Niqust定理要求, 即foDs≤fd2,也就是要求K<2N’1,根据频谱性能要求,一般取foDS≤O.4fc。当DDS相位累加器采用32位字长,时钟频率为30MHz时,它的输出频率间隔可达到AfoDs=re/2N=30x1 06/232≈1 0mHz。可见,DDS基于累加器相位控制lO 江苏大学硕士学位论文方式给它带来了微步进的优势。DDS频率合成器具有以下优点:(1)频率分辨率高,输出频点多,可达2N 个频点(假设DDS相位累加器的字长是N);(2)频率切换速度快,可达US量 级;(3)频率切换时相位连续;(4)可以输出宽带正交信号;(5)输出相位噪声低,对参考频率源的相位噪声有改善作用;(6)可以产生任意波形;(7)全数字化实现,偏于集成,体积小,重量轻。但DDS也有比较明显的缺点:(1)输出信号的杂散比较大;(2)输出信号 的带宽受到限制。DDS输出杂散比较大,这是由于信号合成过程中的相位截断误差、D/A转换器的截断误差和D/A转换器的非线性造成的。当然随着技术的 发展,这些问题正在逐步得到解决。如通过增长波形ROM的长度也减小相位截断误差;通过增加波形ROM的字长和D/A转换器的精度以减小D/A量化误差 等。在比较新的DDS芯片中普遍都采用了12bit的D/A转换器。当然一味靠增加波形ROM的深度和字长的方法来减小杂散对性能的提高总是有限的。已有研 究在对DDS输出的频谱做了大量的分析后,总结出了误差的领域分布规律建立了误差模型,在分析DDS频谱特性的基础上又提出了一些降低杂散功率的方法;可以通过采样的方法降低带内误差功率,可以通过随机抖动法提高无杂散动态范围,在D/A转换器的低位上加扰打破DDS输出的周期性,从而把周期性的杂散 分量打散使之均匀化。 江苏大学硕士学位论文第三章基于FPGA的DDS模块的实现3.1现场可编程门阵列(FPGA)简介FPGA是英文Field Programmable GateArray的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(AsJC)领域中的一种半定制电路而出现的,既解决了定制电路 的不足,又克服了原有町编程器件门电路数有限的缺点。 FPGA采用了逻辑单元数组LCA(Logic 包括可配置逻辑模块CLB(ConfigurableCellArray)这样一个新概念,内部 Block)、输出/输入模块lOBLogic(Input/Output Block)和内部联机(Interconnect)三个部分。FPGA的基本特点主要有:(1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。(2)FPGA可做其他全定制或半定制ASIC电路 的中试样片。(3)FPGA内部有丰富的触发器和I/O引脚。(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。(5)FPGA采用高 速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。因此,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。FPGA具有静态可重复编程和动态在系统重构的特性,使得硬件功能可以像软件一样通过编程来修改。在FPGA实际应用中,设计的保密和设计的可升级是 十分重要的,用单片机束配置FPGA可以很好的解决上述问题。用单片机配置 FPGA器件时,关键在于产生合适的时序。单片机可选用常用的如MCS51系列、MCS96系列、AVR系列等均可。 Cyclone.1l[12]系列FPGA主要山输入输出单元IOE、掩埋数组EAB、逻辑 数组LAB及内部联机组成。EAB是在输入和输出埠加有寄存器的RAM块,其 容量可灵活变化。所以,EAB不仅可以用于内存,还可以事先写入查表值来用它构成如乘法器、纠错逻辑等电路。当用于RAM时,EAB可配制成多种形式的 字宽和容量。Altera公司FPGA器件Cyclone.II系列的组成主要包括:(1)逻辑12 江苏大学硕士学位论文数组,由多个逻辑数组块’(LogicArrayBlocks,LABs)排列而成,用于实现大部分逻辑功能;(2)在芯片四周分布着可编程的输入输出单元(Input/OutputElements,IOEs),提供封装引脚与内部逻辑之间的连接接口;(3)丰富的多层互连结构的可编程联机;(4)片上的随机1竽取块状RAM;(5)锁相环(PLL),用于时钟的锁定与同步、能够实现时钟的倍频和分频;(6)高速的硬件乘法器,有助于实现高性能的DSP功能。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成以后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失, 因此,FPGA能够反复使用。FPGA的编程无须争用的FPGA编程器,只须用通 用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM 即可。这样,同一片FPGA,不同的编程数据,可以产生不同的的电路功能。因 此,FPGA的使用灵活。 FPGA的编程技术。目前有三种基本的FPGA编程技术:SRAM、反熔丝、 Flash。其中,SRAM是迄今为止应用范围最广的架构,主要因为它速度快且具 有可重编程能力,而反熔丝FPGA只具有一次可编程(One Time Programmable,OTP)能力。基于Flash的FPGA是FPGA领域比较新的技术,也能提供可重编程功能。基于SRAM的FPGA器件经常带来一些其他的成本,包括:启动PROMS支持安全和保密应用的备用电池等等。基于Flash和反熔丝的FPGA没有这些隐 含成本,因此可保证较低的总系统成本[13]。3.2Ouartus II5.0㈨开发基本步骤Altera公司上一代的PLD丌发软件使用者众多[1 2|。目前Altera已经停止开发Maxplus II,而转向Quartus II软件平台。而Altera公司新一代PLD丌发软件 Quartus 11webedition更是适合大规模FPGA的丌发。Ouartus II开发流程基本分成4个步骤:13 江苏大学硕士学位论文1.设计输入Ouartus II软件的设计文件可以来自Ouartus II设计输入工具 或各种工业标准的EDA设计输入工具Quartus II强大的集成功能允许信息在各种应用程序间自由交流,设计者可在一个工程内直接从某个设计文件转换到其他 任何设计文件,而不必理会设计文件是图形格式、文本格式,还是波形格式。QuartusII具有如下的多种设计输入方法:原理图输入与符号编辑、硬件描述语言、波形设计输入、平面图编辑以及层次设计输入。如此众多的设计方法帮助设计者轻松地完成设计输入。 2.项目处理Ouartus II处理一个设计时,软件编译器读取设计文件信息,产生用于器件编程、仿真、定时分析的输出文件。消息处理器可以自动定位编译 过程中发现的错误,编译器还可以优化设计文件。项目处理包括以下基本步骤:(1)消息处理器自动定位错误; (2)逻辑综合与试配; (3)定时驱动编译; (4)设计规则检查; (5)多器件划分;本设计主要采用Verilog_HDL语言描述方法。硬件描述语言HDL(HardwareDescriptionLanguage)是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。它可以使数字逻辑电路设计者利用这种语言来描述自己的设计思想,然后利用电子设计自动化(EDA)工具进行仿 真,自动综合到门级电路,再利用ASIC或FPGA实现其具体功能。在硬件描述语言出现之前,已经有很多成功的软件设计语言,比如:Fortran、Pascal和C等,为什么不用这些语言描述硬件?因为这些软件设计语言较合适描述顺序执行的 程序,却难以描述硬件的并发行为;软件设计语言中没有时序概念,难以描述信 号间的时序关系。 硬件描述语言自出现起,发展非常迅速,已经成功应用在数字逻辑设计的各 个阶段,包括设计、仿真、验证、综合等,它ffJx,t设计自动化起到了极大的推动14 江苏大学硕士学位论文作用。Vefilog HDL语言[15]是硬件描述语言中的一种,它是在1983年由Gate WayDesignAutomation公司的Phil Moorby首创。在1984年一1985年,Moorby设计出第一个关于Verilog-XL的仿真器,1986年,他对Verilog HDL的发展又做出了另一个巨大的贡献,即提出了用于快速门级仿真的XL算法,使仿真速度有了 很大提高。随着这种仿真器的流行,Verilog HDL语言得到迅速发展。1989年, Cadence公司收购了Gate Way公司,Verilog HDL语占成为Cadence公司的私有 财产。由于Verilog私有性,妨碍了使用者之间的交流与共享,为与VHDL语言 竞争,1990年,Cadence公司决定公开Veriiog HDL语言。基于Verilog HDL的 优越性,IEEE于1995年制定了Verilog HDL的IEEE标准,即VerilogHDLl364.1995。采用Verilog语言设计的优点有以下几点: (1)作为一种通用的硬件描述语言,Verilog易学易用,因为在语法上它与C语言非常类似,有C语言编程经验的人很容易发现这一点。(2)同一个设计,Verilog语言允许设计者在不同层次上进行抽象。Verilog语言中提供开关级、门级、RTL级和行为级支持,一个设计可以先用行为级语法描述它的算法,仿真通过后,再用RTL级描述,得到可综合的代码。 (3)Verilog语言支持广泛,基本上所有流行的综合器、仿真器都支持Verilog。(4)所有的后端生产厂商都提供Verilog的库支持,这样在制造芯片时,可以有更多的选择。(5)能够描述层次设计,可使用模块实例结构描述任何层次,模块的规模可以是任意的,语言对此没有任何限制。(6)VerilogHDL语言的描述能力可以通过使用编程语言接口(PLI)机制进一步扩展。PLI允许外部函数访问Verilog模块内部信息、允许设计者通过软件 程序与仿真器进行交互。 (7)Verilog语言对仿真提供强大的支持,虽然现在出现了专门的用于验证的语言,但用Verilog语占直接对设计进行测试任然是大部分工程师的首选。 江苏大学硕士学位论文基于Verilog的FPGA的设计有两种方法:自顶向上(top-down)和自低向 上(bottom.up)。图3.1为基于Verilog的FPGA的设计流程示意图。对于简单的只有逻辑设计的系统,可以不需要虚线所示的步骤;若对于一些很复杂的系统,如视频编解码芯片,则还需在系统设计规范完成后开发行为级模型,并进行仿真,检奄是否能满足系统需求。系统需求i系统设计规范i确定软件,硬件划分I逻辑总体设计方案I软件总体设计方案l逻辑详细设计方案i软件详细设计方案l逻辑编码i软件编码i功能验证l软件验证软硬件协同验证i综合布局布线时序验证i主板调试图3-1 FPGA设计流程示意图16 江苏大学硕士学位论文3.3任意波形发生器的FPGA实现早期的DDS系统使用分离的数字器件搭接,随着整个电路系统运行频率的升高,采用分离器件构建的DDS电路有其自身无法克服的缺点,主要表现在电磁兼容和系统工作频率上。后来出现的专用DDS芯片极大的推动了DDS技术的发展,但专用DDS芯片价格昂贵,且无法实现任意波形输出,近来,CPLD及FPGA的发展为实现DDS提供了更好的技术手段。 FPGA的应用不仅使得数字电路系统的设计非常方便,并且还大大缩短了系 统研制的周期,缩小了数字电路系统的体积和所用芯片的品种。而且它的时钟频率已可达到几百兆赫兹,加上它的灵活性和高可靠性,非常适合用于实现波形发生器的数字电路部分。 用FPGA设计DDS电路比采用专用DDS芯片更为灵活。因为,只要改变 FPGA中的ROM数据,DDS就可以产生任意波形,因而具有相当大的灵活性。 相比之下FPGA的功能完全取决于设计需求,可以复杂也可以简单,而且FPGA芯片还支持在系统现场升级,虽然在精度和速度上略有不足,但也能基本满足绝大多数系统的使用要求。另外,将DDS设计嵌入到FPGA芯片所构成的系统中,其系统成本并不会增加多少,而购买专用j吝片的价格则是前者的很多倍。因此, 采用FPGA来设计DDS系统具有很高的性价比。 用FPGA可以非常方便的实现DDS系统的数字电路环节,且可现场编程进行电路的修改。在DDS系统中,FPGA的主要完成:(1)保存频率字;(2)保存 相位字;(3)构成相位累加器,产生波形RAM的地址;(4)形成波形RAM。17 江苏大学硕士学位论文3.3.1FPGA设计流程波形选择控制字 波形选择寄存器 相位控制字 相位字寄存器 频率控制字 频率字寄存器I I频率字累加器I 寻址累加器 l波形数据存储外部时钟 PI,l,倍频 PLL倍频输出 图3-2 FPGA设计框图FPGA的主要功能是:(1)产生与¥3C2440的接口电路,使其能够接受ARM处理器控制信号;(2)保存频率字,并构成相位累加器,产生与主时钟相同频率的RAM寻址字;(3)用内部的存储块构成存放多种波形数据的ROM,并通过相应的控制线进行选择;(4)构造出两个多波形选择输出的输出通道,其中的一路通道可具备移相功能;(5)用内部的PLL倍频外部低频品振源,并输出与主时钟同 频的时钟,驱动片外高速D/A。该系统可实现常规固定波形输出和任意波形输出。其中相位累加器是一个带 有累加功能的32位加法器,它以设定的频率控制字K作为步长来进行加法运算,当其和满时清零,并进行重新运算。相位寄存器是一个8位寄存器,它接受ARM处理器发送来的相位控制字数据并进行寄存,当下一个时钟到来时,输入寄存的数据,对输出波形的频率和相位进行控制。波形查找表ROM及RAM是DDS的关键部分,设计时首先需对时域波形进行采样,将采样的波形数据储存到波形查找表ROM及RAM中,每一位地址对应一个波形点的数值,任意波形数据寄 存器接受ARM处理器送来的任意波形数据数据。整个系统各模块是在同步时钟信 号CLK的控制下协调工作的。18 江苏大学硕士学位论文3.3.2FPGA设计模块划分图3-3FPGA设计模块流程图整个设计有一个项层模块,按照功能要求划分成三个功能模块,其中第二个 模块足DDS核心模块,比较复杂,又划分成6个模块。如图3-3所示:任意波形发生器的FPGA的电路设计主要是用FPGA设计DDS的核心部分最IJ)cH位加法 器、控制字输入寄存器、流水线累加器,波形查找表、任意波形数据寄存器。 3.3.3时钟模块图3-4时钟倍频模块根据耐奎斯特采样定理要得到输出频率为20MHz的信号,其所输入的信号时钟频率必须达50MHz以上。采样频率越高,输出波形的平坦度越好,同时19 江苏大学硕士学位论文波形的的采样点数也越多,那么获得的波形质量也就越好。本设计中的DDS模块是一高速模块,所以对系统时钟就有很高的要求,不仅需要有较高的频率,而 且还要有非常高的稳定性,如果在FPGA的时钟端直接加一高频晶振,不仅时钟不稳定,而且功耗大,费用高,在本设计中,直接调用Altera公司的PLL核, 在FPGA时钟端只需加一低频晶振,通过FPGA内部PLL倍频达到系统时钟要 求。图3-4为用Mega WizardPlug―InManager生成的PLL的实例图,图中输入端,外接系统时钟,两个输出端,一个是内部DDS的系统时钟,另一个为数模转换的控制时钟,两个时钟都足都一个PLL产生的,所以,输出的时钟相位偏移在允许范围内。3.3.448位寄存器设计本设计采用了48位寄存器,用来存储从ARM处理器读取的48位控制信号。其中控制信号的低16位用于作波形的选择,相位的偏移。控制信号的高32位当作频率控制字使用,结合FPGA的累加器产生32位地址数据。利用这个地址从波 形存储器罩将波形调用出来,输出相应的波形。VerilogHDL程序:module R―SYDFF(RB,D,CLK,Q):input input RB,CLK;【47:0】D;output【47:0】Q; reg【47:0】Q;always@(posedge CLKQ<=(!RB)?O:D;EndmoduleornegedgeRB)将上述程序利用QuartusII 5.0仿真出来图形。20 江苏大学砸士学位论五圈3 5 48位寄存嚣仿真图从仿A结果lJr以石…从外卉|;输入的恼I)已经被存放稿FPGA划分}“水的寄 存器Q、j巾。实现r 481l,寄存器的功能。3 35地址发生器设计地址发牛器模块包含柏位累加器和帕位控制器,片?|-柑化累JJu器足个带打祟加功能的32他加I法器。它接l收ARM处理器送来的频率控制+,数据并进行寄存, 它以世定的32化频牢控制7 K作为步长米进行加法运饽,当其和满时,畦数器 清零爿逊jr晚新运并,¨|DDS原则可知,堪过控制频率摔制字K就rⅡ以力恒地控制输…频毕;,当F卟f时钟到米时,输出寄存的频率和相位数据,对输}{{波彤的频二年和相位进行控制。改漠块输出32位的地址数赫!:,m由j设计的波形数 捌存储器椿度为1024点,存储容黾有限,只能取高10位作为波J口RAM的地址。按照设¨要求,任意波形发生器的频率分辨率要达刮10mHz,输出最大捌半坚达刮1 5MHz,…叫考虑到FPGA本身的特陛(时』:多位的累加器,FPGA的j作速度足受到限制的),政任意波形发生嚣采州的系统时钟是40MHz,相位累_jJ|l器为32bit,这样m△矗。.=L,2”uJ得△£¨.,=931x103llz,lDmHz。小设汁选用的FPGA*#件是EP2C35F672C6,通过仿真,当直接聚州32bit累加柞㈨¨候.系统时钟最大只能达到约25Mtlz。显然是达小到改¨要求的,』新以必须改进牛¨ 似累加器的结构,他之达至】.史高的T作迷度。在削J≯电路世汁中为了提高I。作述2l 江苏大学硕士学位论文度,流水线结构是一种常用的设计方法。累加器采用流水线结构来实现,简单而 言,就是把一个位数很长的加法,拆成N个位数较短的加法,在N个时钟周期内做完,然后输出结果,N就是流水线的级数。采用流水线结构以后,由于加法 器的字长变短了,对于FPGA来讲,加法器字长变短,对于提高工作频率是十分有帮助的。当然,流水线结构的使用,并不能无限制地提高电路的工作速度,这是因为,流水线结构是一种用电路规模换取工作速度的设计方法,提高工作速度的代价是电路设计的复杂化。流水线结构累加器要比普通的累加器结构复杂得 多,由于累加不在一个时钟周期内完成,内部需要大量的寄存器保存中间变量。 随着流水级数的提高,电路复杂程度将大大增加,当电路的复杂程度达到一定量 级的时候,流水线所带来的性能改进,和电路本身由于结构复杂所带来的性能下 降相抵消的时候,流水线结构就不再具有提高电路工作频率的作用了。对于不同 的器件米说,采用多少级流水对性能的提升比较大要通过仿真试验才能得到一个 比较肯定的值。 下面是基于流水线技术的加法器与寄存器结合在一起的相位累加器设计。八位相位累加器的四级流水线设计,加法器采用5级锁存,4级加法,最前 的一级实现2位数的相加,后面3级加法器实现2位数与一个进位的相加,整个加法器的速度由2位加法器决定,Verilog HDL源程序如下所示。Verilog moduleHDL程序:acc_pipeline4j(sum,ina,clk);output[7:0]sum; input[7:0]ina;input clk;reg[7:0]tempa,inb,sum; reg[8:0]tempc;reg firstco,secondco,thirdco;reg[1:0]firsts;,thirda,thirdb; 江苏大学硕士学位论文reg[5:O]firsta,firstb,thirds; reg【3:O】seconds,seconda,secondb;always@(posedge clk)//第0级锁存begin tempa=ina; endalways@(posedge clk)//第一级加法(第0、1位相加)及锁存begin{firstco,firsts}=tempa[1:O]+inb[1:0】; firsta--tempa[7:2];firstb=inb[7:2];endalways@(posedge clk)//第四级加法(第6、7位相加)及锁存begintempc2{thirda[1:O]+thirdb[1:O]+thirdco,thirds}; sum--tempc[7:O];inb--tempc[7:0];end endmodule23 江苏大学硕士学位论文仿真结果如下gmD“kb№l口}目mDoⅫ蚺*nife%‰whtg吐一ls 8“型M蚶’o}:洲卜l口l口.吐m}∞嘲 矗I B{””}¨∞ot!149“l”9”邶}M 2”9M泖9Ⅱo 9Ⅱ”9“400 oⅡ“9 M{”,M一一――几1n nnl n nl n叽m nnl nnnl n rnnn n1 nlllnr nnln眦n nf n nn n n n『∞m∞【∞ll嘲1∞.0110:Ⅲtnm』―』__―上』―L上jL!J―5_』』―L三上UJUJL上刖罔3―6采用流水线姑蕞的累加器32何相位累加器的流水线泼训n勺仿真结粜如H 3-6所不,是由4个8位加法器半联而成。其中ina m为相位累加器的输入控制’,,在澄训饩接数牛频率合成器时根_【Il}所需的捌率拧制,’力¨以世定。q为裂加后的输出结粜。3 36波形数据存储器的设计蕊翅逍碰姑龃勤拦越誓毯丝盔砬泌琏茹越拦垃菠蕊盔适誊若澎盔盆警警醢篁翟裔i:■ }一d…。t mchh…鲤tg§§§l一}:最窘嚣:::艘.翟盛b招。ml毒可―]=篡篇4基纛。㈡: ““山“。…““”叫肿““…*。 l 1“二竺“} ‘。。。。1。。。…m“小’m“1’m1’“‘i*?+!。。。:c…,一,。。。,。。“.【波形数据ROll就是存放波形数据的存储器,大多波形发生器产品都将波形数 据存放在外部的RoM中,这样使得各部分结构清晰,测试、维护更加方便但由于 ROM本身读取速度慢的缺点,使得整个系统性能下降,工作频率F降,为了解决 以上问题,本设计使用的是用FPGA设计出ROM,在FPGA中存放波形数据,这罩 又一次用到了Quartus II中的MegaMega Wizard Plug―In Wizard Plug―InManager来生成一个ROM。Manager的设置图如图3―7。根据设计的要求,经过七步的设置,就可以生成一个ROM的IP核。当在波形ROM中固化所需波形的一个 周期的幅度值后,由地址发生器产生的地址对波形ROM寻址,依次可取出送至D/A转换及滤波后即可得到所需的模拟波形输出。计算波形数据可以有两种方 法:C语言与matlab计算。这里列举一种利用C语言编程计算正弦波、方波、 三角波、锯齿波等四种固化波形的一个周期的1024点的幅度数据的程序及结果。以正弦波为例:计算公式如下for(n=0;n<=N.1;n++) {y:127.5+127.5母sin(n卡3.1415936535/128);产生的数据如图3.8所示。图3-8波形数据存储表25 江苏大学硕士学位论五2、VerilogIIDL程序:module ROM8(address,inclock,q1'input output[7:0】address;input f7:0】qTSUb wire0;inclock;wire【7:0Jwire【7:0]qⅧbIpm tom Ipmwire0[7:0];10lllcomponent(.address(address)inclock(inclock),q(sub wircO),memenab(),oulclock());defparam Ipm tom componenl imcnded device Ihmily=“ACEXlK”, lpm rom component Ipm width28.3ipm―rom―component lpm x~idthad8,[pm―tom component Ipm address conlml’1REGISTERED”, lpm rom component tpm outdala2”LⅢREGISTERE∥.Ipm tom componcnt Ipm tile 2”SlNI)Aln MIF”, Ipm ronl―componenl Ipm type一“LPM ROM”, endmodule凋川上述羊!l!序,将ROM表中的数据蛹…,可形成最后的被膨。下而以lF弦 波为例r。32位n:弦波仍真图如F:㈨…Ti静k15 8ns型‰i∽.49.nⅡIⅡl"al1"”PS 8“5T33 47MSt口t:n:18Pm 2蚰pⅡE锄pM埘p一nE4”PⅡ560 Pns6{。9“画社 ――田l吐1n u铆00。( 田q1u128咖哪0唧叽朋唧唧叽彻删唧咖帅舢哪0叽邢叭mm叽眦m E8㈣J !垫 ^ !i! X !苎 M !塑 X !塑 I图3-9 32位正弦波仿真囤 露 陲3 3图3-1 O使用signalTap II生成的正弦波仿真目7任意波形模块设计这是一个¥11X十简币的模块。首先掣确定波形RAM的深度和’}:K,IfI f仟意波形发牛器设m巾选择的DAC的宁K是8位,仪明显波形RAM f一7 K也应浚足8位:山r选扦的地址线何数为12化(即相位累加器输出的岛12忙),.,址。≯|11.为4K(4096)个单元,则改训的RAM存储卒nU心为4096×8bii=32768bits,…于本蹬if所选择的FPGA内椰RAM蛀人川配胃为59904bits,完伞可以满足 32768bils的RAM空¨醴计。』衍以波形RAM设计为宁长8俯,地川线12忙。针对任意波形发牛器与竹通DDS的小M,波形RAM的设计主璎要求,RAM H订读。,j两个端口,这样IⅡ以通过曲套地址系统,力便地进行RAM内容业新, 即刈RAM的写操作;波形缸度量化数据的输H{,即时RAM的读操作。结台 AISIERA公?d FPGA的特一j,,选择了E112C35F672C6这个芯片内部的毅f 来实现这个功能,如罔3―11所示。RAM闱3一11双口RAM示意图 江苏大学硕士学位论文芯片内部的双口RAM具有读地址和写地址两组地址线,数据线也分成了读数据线和写数据线两组。这样波形RAM的设计就非常简单了,将写数据线、写 地址线和单片机的数据线、地址线相连,用单片机更新RAM中的数据;将读地址线和读数据线分别与丰H位累加器的输出和DAC的数据输入相连,读数据线上即输出了波形幅度量化数据。3.3.8FPGA实现串口设计 FPGA通过串口和ARM进行通信,所以在FPGA上需要实现串口模块。RS一232使用异步通讯协议。数据的传输没有时钟信号,接收端必须有某种方式,使之与 接收数据同步。对于RS一232是这样处理的:1串行线缆的两端事先约定好串行传输的参数(传输速度、传输格式等)。 2当没有数据传输的时候,发送端向数据线上发送”l”。 3每传输一个字节之前,发送端先发送一个”0”来表示传输已经开始。这样接收端便可以知道是否有数据。4开始传输后,数据以约定的速度和格式传输,所以接收端可以与之同步。 5每次传输完成一个字节之后,都在其后发送一个停止位(”i”)。系统使用串行连接的最大速度1 15200波特,FPGA通常运行在远高l15200Hz的时钟频率上,这就意味着需要用一个较高的时钟来分频产生尽量接近于l15200Hz的时钟信号。从1.8432MHz的时钟产生通常RS一232芯片使用1.8432MHz的时钟,这个时钟很容易产生标准的波特率,所以只需要将1.8432MHz 16分频便可得到1 15200Hz的时钟。代码如下: reg[3:0]BaudDivCnt: always@(posedge clk)BaudDivCnt<=BaudDiwire vCnt+1:BaudTick=(BaudDivCnt==15):所以”BaudTick”每16个时钟周期需要置位一次,从而从1.8432MHz的时钟得到1 15200Hz的时钟。 江苏大学硕士学位论文设计目的如下,1RxDIL1.当RxD线上有数据时,接收模 块负责识别RxD线上的数据irdeSe rIa7●。●L7R’二7data:IiZ clk-Lrerd甜a―ready-72.当收到一个字节的数据时,锁存接收到的数据到”data”总线,并使 ”data_ready”有效一个周期。图3-12 RS232接受模块只有当”data_ready”有效时,”data”总线的数据才有效,其它的时间罩不要 使用”data”总线上的数据,因为新的数据可能已经改变了其中的部分数据。异步接收机必须通过一定的机制与接收到的输入信号同步(接收端没有办法得到发送断的时钟)。这罩采用如下办法。1.为了确定新数据的到来,即检测开始位,使用几倍于波特率的采样时钟对接收到的信号进行采样。 2.一旦检测到”开始位”,再将采样时钟频率降为己知的发送端的波特率。典型的过采样时钟频率为接收到的信号的波特率的16倍,系统使用8倍的采样时钟。当波特率为1 15200时,采样时钟为921600Hz。 首先,接受到的”RxD”信号与时钟没有任何关系,所以采用两个D触发器对 其进行过采样,并且使之时钟同步。 reg[1:0]RxD―sync: always@(posedge clk)i f(Baud8Ti ck)RxD_sync<={RxD―sync[0],RxD):首先对接收到的数据进行滤波,这样可以防止毛刺信号被误认为是开始信号。 reg[1:olregRxD―cnt;RxD_bit:always@(posedge clk) if(Baud8Tick) 江苏大学硕士学位论文begil3if(RxD_sync[1]&&RxD―cnt!=2’b11)RxD―cnt<=ltxD―cnt+1:elSe i f(、RxD_sync[1]&&RxD―cnt!=2’bOO)RxD―cnt<=RxD―cnt一1: i f(RxD―cnt==2’bOO)RxD―bi t<=0: elseif(RxD―cnt==2’b11)RxD―bit<=1:end一旦检测到”开始位”,使用如下的状态机可以检测出接收到每一位数据。 reg[3:O]state: always@(posedge clk) if(Baud8Tick) case(state) 4’b0000:if(’RxD―bi t)state<=4’b1000://start 4’b1000:if(next_bit)state<=4’b1001://bit 4’b1001:if(next―bit)state<=4’b1010://bit 4’b1010:if(next―bit)state<=4’b1011://bit 4’b1011:if(next―bit)state<=4’b1100://bit 4’b1100:if(next―bit)state<=4’bl 101://bit 4’b1101:if(next―bit)state<=4’b1110://bit 4’b1110:if(next―bit)state<=4’b1111://bit 4’b1111:if(next―bit)state<=4’b0001://bit 4’b0001:if(next―bit)state<=4’b0000://stopdefault:state<=4’b0000: endcase 0 1 2 3 4 5 6 7 bit bi t found?reg[2:0]bit―spacing:30 江苏犬学硕士学位话z,11ways@(posedge Clk)1f(state--O)bit eIspac Lng<0selr(Baud81 i ck)hI【一spaci ng(2 h¨一spacI ng}I,w【renex LbIt(bitspaI:Ing=7),最后使用一个移位寄存嚣来存储接受到的数据。 reg【7:0]RxI)data; always@(pesedgeclk)i f(Baud8Ti ck&&next bit&&sl。iIl】【3j)Rxl)【l,¨。I<{RxDj¨L,N驯data[7 1]],3 3 9FPGA设计结果报告在编潆器进行编洋时,j川-的适配器模块(Fitter)会Jm生改汁报告文什,它钍示了所编谱工程rfI使用器件资溉㈣情况。设计荇可以对编译器c"的适配模块做槲火世定,川叫还”r为编洋器指定报0,文件中脚专包含的信息。目3―13FPCA资鼎使用圈 江苏大学硕士学位论文图3―13显示器件的资源使用情况,可以看到输入脚使用了10个,使用率为 2%;PLL使用了1个,使用率为25%;逻辑单元使用了1197个,使用率3%;存储单元使用了65536个,使用率为13%,在EP2C35F672C6中存储单元,是一种专用化的资源,只能用于存储。3.4本章小结本章首先介绍了现场可编程门阵列FPGA,以及开发环境Quartus II 5.0的优点,设计语言Verilog HDL的优点。在此犟础上介绍了FPGA的开发设计流程,然后针对本科题要求对DDS机构进行分析,完成整体结构的设计,当中又将每 一个模块的设计进行了详细的描述。最后给出了设计的结果报告。验证了FPGA 设讨‘的DDS模块的性能。32 江苏大学硕士学位论文第四章系统硬件设计4.1系统硬件总体框图图4-1系统硬件结构图 系统硬件结构如图4-1所示。图中ARM控制核心板由¥3C2440处理器、HY57V56 1 620CTP―H2块(64M SDRAM)、K9F 1 208UOC(64MFlash)构成ARM9最小IIC系统外接DM9000A完成100M bit/s网卡接口、外接RS一232接口、ZLG7290键箍接口、和LCD显示接口。ARM和FPGA采用串口通信方式将相应控制数据发送至FPGA内部寄存器,再由FPGA内部DDS模块输出相应的数字波形,经过D/A转换成模拟信号,最后由滤波器滤波后输出模拟波形。4.2控制模块设计控制系统由ARM芯片¥3C2440模块,LCD显示模块,矩阵键盘输入模块和其他辅助模块组成。4.2.1ARM芯片¥3C2440的特点¥3C2440是韩国三星公司的一款基于ARM920T内核的16/32位RISC嵌入33 江苏大学硕士学位论文式微处理器,主要面向手持设备以及高性价比,低功耗的应用。运行的频率可以 达到203MHz。ARM920T核由ARM9TDMI,存储管理单元(删U)和高速缓存三部分组成。其中MMU可以管理虚拟内存,高速缓存由独立的16KB地址和16KB数据高速Cache组成。ARM920T有两个协处理器:CPl4和CPl5。CPl4用于调试控制,CPl5用于存储系统控制以及测试控制。¥3C2440的资源包括:?1个LCD控制器(支持STN和TFT带有触摸屏的液晶显示屏)SDRAM控制器。 3个通道的UART。 4个通道的DMA。???4个具有PWM功能的计时器和一个内部时钟。?8通道的10位ADC。 ?触摸屏接口。?IIS总线接口。 1个USB主机接口,1个USB设备接口。??2个SPI接口。 ?SD接口和MMC卡接口。 ?看门狗计数器。?117个通用i/o口和24位外部中断源。?8通道10位AD控制器。¥3C2440集成了一个具有同历功能的RTC和具有PLL(MPLL和UPLL)的芯 片时钟发生器。MPLL产生主时钟,能够使处理器工作频率最高达到203MHz。 ¥3C2440将系统的存储空间分为8组(Bank),每组的大小是128MB,共1GB。 BankO到Bank5的开始地址是固定的,用于ROM或SRAM。Bank6和Bank7用于ROM,SRAM或SDRAM,这两个组可编程且大小相同。Bank7的开始地址是Bank6的结束地址,灵活可变。所有内存块的访问周期都可编程。¥3C2440采用Ngcs[7:0]8个通用片选信号选择这些组。¥3C2440支持从NAND FLASH启动,NAND FLASH具有容量大,比NORFlash价格低等特点。系统采用NAND Flash与SDRAM组合,可以获得非常高的性价比。 ¥3C2440具有三种启动方式,可以通过oM[1:0]管脚进行选择: 江苏大学硕士学位论文OM[1:0]=00‘时处理器从NAND Flash启动; oM[1:o]:Ol时处理器从16位宽的ROM启动; OM[1:0]=10时处理器从32位宽的ROM启动。 用户可以将引导代码和操作系统镜像存放在外部的NAND Flash中,并从 NANDFlash启动。当处理器在这种启动模式下复位时,内置的NAND Flash将访问控制接口,并将代码自动加载到内部SRAM。之后,SRAM中的引导程序将操作 系统镜像加载到SDRAM中,操作系统就能够在SDRAM中运行。启动完毕后,4KB的启动SRAM就可以用于其它用途。如果从其它方式启动,启动ROM就要定位于 内存的起始地址空间Ox00000000,处理器直接在ROM上运行启动程序,而4KB启 动SRAM被定位于内存地址的Ox40000000处。¥3C2440对于片内的各个部件采用了独立的电源供给方式:内核采用1.8V供电;存储单元采用3.3V独立供电,对于一般SDRAM可以采用3.3V,对于移动SDRAM可以采用Vdd等于 1.8/2.5V:Vddq等于3.O/3.3V;I/O采用独立3.3V供电。4.2.2主控制模块主控ARM处理器是整个系统的控制核心,它主要实现频率相位设置及键 盘显示控制,还要协调着其它各个模块工作。主控ARM处理器与其余模块的接口 如图所示。SDRAM HY 5 7 V 5 6 l 62 OC T P频率控制 控制面板Z L G 72 9 0 A R L CD相位控制MS 3 C2 4 4 0F PGA E P 2 C 3 5 F 67 2 C 6波形数据面9F 208回UOCK l图4-2主控模块图 江苏大学硕士学位论文ARM处理器将频率控制信号,相位控制信号和波形数据发送至FPGA交由其运算产生相应波形。 4.2.3键盘电路本系统采用Zl。G7290 12C接口芯片,ZLG7290键盘/LED驱动器是周立功公司针对仪器仪表行业的需要自行研制的一款芯片。该芯片能自动完成8位LED数码管的动态扫描和(最多)64按键检测扫描,大大减轻单片机的用于显示/键盘的工 作时问和程序负担,可使集中资源用于信号的检测和控制。由于采用12C总线方 式使得芯片与单片机间的通讯只用2个I/O口便可完成,节省了单片机有限的口资源。该芯片为工业级芯片,抗干扰能力强,在工业测控中已有大量应用。它可采样64个按键或传感器可检测每个按键的连击次数其基本功能如下: 1、键箍去抖动处理当键被按下和放开时可能会出现电平状态反复变化称作键盘抖动若不作处理会引起按键盘命令错误所以要进行去抖动处理以读取稳定的键盘状态为准。 2、双键互锁处理当有两个以上按键被同时按下时ZLG7290只采样优先级高的按键优先顺序为S1>S2>…>S64如同时按下S2和S18时采样到S2。 3、连击键处理当某个按键按下时输出一次键值后如果该按键还未释放该键值连续有效就像连续压按该键~样这种功能称为连击连击次数计数器RepeatCnt可区别出单 击某些功能不允许连击如开关或连击判断连击次数可以检测被按时间以防止某 些功能误操作如连续按5秒经入参数设置状态。 4、功能键处理功能键能实现2个以上按键同时按下来扩展按键数目或实现特殊功能如PC机上的Shift Ctr]A1t键。 江苏大学硕士学位论文键盘电路原理图如下:图4-3键盘控制电路 4.2.4显示电路显示模块采用AT050TN22,分辨率为640*480,部分连接图如图4-4所示:图4-4显示电路37 江苏大学硕士学位论文4.2.5SDRAM连接电路使用两块HY57V561 620CTP-H组成64M的SDRAM和¥3C2440连接。’’位氆泛e磁* ∞/o,}。w々 厂o’:W 档^3A'00{ A2嘲 娩 凇 泓娩,娃弘,硒,一● 5:0t7^1/越A{ ^2 ^3 A. A5 ^7“一以/蚴 ∞’0∞,C域7^谚,‘捞’§’7/ ∽Ⅷ/■☆《/ E&’a∥‘。^j.r/3:M ” 硒 触D∞ O饼gm 住‘‘ tE一’“, ―o舫/一L#。oi硒//.”’,L^二二i"弘∞A7 007 ^盆DOS蚴 糊 瞄 瓣 ⅪA协L一^“1/’'。[二一硒,5-iiJ^g旃O镭蚴“‘5^叼00{0A{t At2/江“H’日砧 ∞2l∞"^诒00;0 ^"OO’1 ^{2 00’3D0t二/U屹&糍2' 王 2‘“∞麓48硒∞t3壮 o*“1。/ 钠“r一一{3/∞{二酿L.#~《』7岛"00{:∞{4弘耀:j卜―――一 u{翻《3i砒 《Z:硭 S雠ⅢE 0淄U)伽嘲蕊爱流装二釜臣――-2呈_Ⅵ强 Ⅵ渤VD0{VOSI鞫蒸 !:滋蹲 ∥然醛墨nU泌7#”/8^4 &毳{∞“∞!:矾t二罅掣1/L£铆采怒 S雠窖0U(墉捌癌黼蜊X越VS鬣嘲2_j4――确e饿 盘j砸臣 }_卫V38∞V00‘1 讫002VS3j∞V0∞0瞄j矗_崔VSS0t¥弱)e让 V0003寻‘H¥57姗∞越亨嚣≯嘲 图4―5 SDRAM连接图匿Ⅵ锄 髓, Asc 缁lⅥ磁 V谨 V0∞0 讫9∞V0Ⅻ %涮V00。2彳蟹驻 “*∞VOO,∞菪|嚣i丑籀《№64M4.2.6FLASH连接电路l磊巷’7l彩汽移{魉图4-6 NANDFLASH连接图FLASH采用K9F1208UOMNANDFLASH做为系统存放程序,内核,文件系统的存储之用。和主控芯片¥3C2440的连接图如图4―6所示。38 江苏大学硕士学位论文4.3模数转换电路DAB的设计从ROM中读出的波形幅度值,最终要经过D/A转换成相应的模拟阶梯波形, 然后再通过低通滤波器输出。理想的DAC是一个采样保持系统,一个数码被转换为一个模拟值并在整个采样周期内保持其值,在输出瞬问从一个模拟值变化到另 一个模拟值。然而DAC并不足理想的,它的输出具有有限的转换时间,存在相关码突变,这是一个短暂的输出误差,其幅度和宽度是输入编码的变化函数。本课 题使用的DAC器件是AD公司的9713B 100MSPS高速芯片,它有以下几个优点:速度快(100M的转换速率)、精度高(12位分辨率)、转换噪声低(SFDR1Mttz:70dbc)、功耗低;ECL/TTL电平兼容。在数据输入时序上,其锁存信号是低电平 有效,即在锁存信号LATCH=0时,输入通道足透明的。锁存信号与输入数据应符合一定的时序关系才能被正确锁存。如下图所示,从图中可以看出,数据要被正 确地接收,必须满足一定的数据建立时间和数据保持时问。ts―INPUT SETUPTIME图4-7 AD9713输入数据时序图39 江苏大学硕士学位论文图4―8 AD9713芯片连接示意图幅度调节电路是利用两个双极性的放大器AD708,AD9617和AD9713组成电 路来调节D/A输出波形的幅度。电路如下图所示,这是一个电流反馈的高速放大电路。它把DAC输出的电流转化成电压,通过反馈电阻RPB的DAC电流决定9617 输出的幅度。RL和RFF起分流作用,限制用于i/v转换的电流,同时在9617内 部提供一个输出电压幅度。通过R2的电流给9617输出端提供一个直流偏置,调 节R1的阻值可以调整偏置电流的大小。整个放大电路最大的幅度是±4.096V,这里的±相对于参考电平。4.4滤波电路模块的设计在典型的DDS应用[16]中,DDS后通常接有一个低通滤波器LPF,用它来滤除 一阶镜像。而实际中LPF都有一个过渡带的问题,所以为了更好的去除一阶镜像带来的杂散[17][1 8J,一般将DDS的输出频率限制在0"---40%fc内。 滤波器的传输特性可以用工作衰减、相移、群延迟及插入衰减等参数来表征。 其中,衰减特性和群延迟特性是设计滤波器时考虑的重点。通常衰减特性和群延 迟特性成互斥关系。在滤波器设计时,首先根据给定的技术指标,选择某一型式的低通滤波器,查出归一化元件值,然后用所要求的截止频率和负载电阻进行标 江苏大学硕士学位论文定,便得到所需的低通滤波器。1.滤波器的传输特性[19]电路中滤波器接到实际的信号源Es和负载RL之间。当信号源电压Es的频 率改变时,则负载电阻RL(负载电压为EL)所吸收的功率大小将发生变化,这 就显示出滤波器的频率选择性来。通常滤波器的工作衰减用分贝来表示,即州%sm㈦c招,舯巴墨4Rs咒:譬Fh于,(4-。墨≥l因此把式(4―1)写成只A=lOlog。o(1+IDJ2)(础)(4―2)式中,D称为特征函数,D是它的模数。在滤波器的设计中,有时还用到插入衰减的概念。我们定义当未接滤波器时负载所吸收的功率,与接入滤波器之后负载 所吸入的功率之比为插入衰减函数。未接滤波器而把负载电阻R。直接接在信号源Es上时,负载所吸收的功率为伽(彘卜插入滤波器后,负载所吸收的功率为(4-3)P:咝‘R(4-4)插入衰减函数也用分贝来表示,即川o.o‰㈦c扭,比较式(4―1)与式(4-5)可得(4―5)彳=.4,+lOlog,o%等c如,41(4-6) 江苏大学硕士学位论文显然,当RL等于Rs时,则A=4即工作衰减等于插入衰减,当RL不等于Rs时, 则有可能得到负的插入损耗,即滤波器接入后使负载得到的功率比介入前大,这 时所得到的不是损耗而是增益。称电压转移函数的相角与频率的关系为滤波器的 相位特性,即咖,=ars㈦用乃表示群延迟,则d够(4-7)乃2■二-d缈(4-8)在传输单一频率信号的电子设备中,多采用相位延迟的概念。而在传输具有一定带宽信号的电子设备中,则多采用群延迟的概念。通常群延迟特性简称为时延特性。2.归一化低通原型滤波器的设计将低通原型滤波器的阻抗和频率作归一化处理,简化了滤波器的设计。阻抗 归一化是以负载电阻RL为l来转换滤波器的各元件值的。当我们已知归一化元件值后,各元件要标定的实际阻抗电平可由以下公式表示:R=R+RL(4―9)L=LRL(4―10)C=C’/R(4―11)式中尺’,上,C。表示归一化元件值,其它的量表示实际元件值。频率归一化是以截止频率叹为1来转换滤波器中各电抗元件的阻抗值。即归一化频率为42 江苏大学硕士学位论文Q:旦q(4―12)将频率归一化后的元件值标定为实际频率时的元件值应为C=Q咏(4―13)C=Cq(4―14)在工程计算中,查表得到的是频率和阻抗都已经归一化了的元件值,要标定成我们实际需要的截止频率和负载电阻时的元件值,应按以下综合标定公式进行 计算:R=R R,上,(4-15) (4-16)三:旦Z%C:J|一C唆.局(4-17)C=Q%(4―18)在实际电路中,由于分布参数和寄生参数的影响,综合标定后的元件还是需 要经过进一步的微调,才能达到最佳的效果。 3.DDS函数发生器中的低通滤波器设计 滤波器的选型主要根据DDS系统中输出波形的频谱结构和滤波器的传输特性共同决定的。FPGA内部集成了两种波形正弦波形和三角波形。其波形频谱特 点不同因此需选用不同的滤波器。正弦波形的频谱分量单一,输出频率较高主要考虑的是在通带内要有一定的平坦度,在阻带内要保障足够的衰减来抑制谐波以满足输出要求。针对这些要求,采用了7阶椭圆滤波器[20]来实现对正弦波的滤 波,由于DDS的输出频谱被Sa函数加权过,在滤波器的入口加了个谐振pl路, 这样当输出频率比较高的时候由于谐振输入幅度会加大,以起到对所虑波形的补43 江苏九学硕士学位论文偿作用。根据指标要求,正弦波信弓输出的最高频率是20、1IIzt蚓此-需要为【F弦波设计的低通滤波器的截止频率为设¨为20 5Mllz。具体泌波电路设计川图49所小,完成对连续的丁F弦波形进行滤波。它的频谱特。陀如图4嵋10所示。图49正弦泣滤波电路圈410椭圆滤波器的频谱特性埘r二伯波形柬院,…j。它的L皆波分壁十富,女_J聚也采川椭圆滤波器,它的 陡峭度好会将一些有用的谐波分量滤除掉,托戗】r频率范[!I内H能保留基波而形 成I‘弦波。并且一角波形输出频率不高,幽此针对这Ⅱ8特点设计了个具有良灯 叫延特一陆的7阶的叭塞尔滤波器。它的叫延特性比巴特沃斯、肋比雷夫、椭网函 数型滤波器都要好些,它的}¨位特|生史逼近J‘线性,通带边缘的陡度也要比元件 江苏大学鳓士学位论t数日4t州情况l、的向斯i{!;波器奸ⅡE,具体设¨lU路圳鹌4―1性蚰l蚓4ll所小。它的频潜特2所示。通,日我们对卜一f『J波滤波,考虑把儿寒尔的戡lr频半设作二二角波在最高频半时耳x 10次谐波处,既lOMIIz处。图4-11 7阶的见塞尔滤波嚣时412盟塞^:鹿波嚣频谱特性45本章小结本壮依次介绍了组成仪器系统硬¨的控制部分、键韶输入和钞不输…部分、D^幅度调节部分、滤波器ii|5分。r乜路蛮验结果表明,秉川以l’JJJ能-乜蹄丛小寅现j’项H刘模拟迎道的i5}¨嘤求, 第五章系统软件设计系统的软fl醴计卜要包括构建嵌八式I TNIIX操作系统,键盘,叫卡,I cD驸一J程序和抖丽J哑川捌午。心川111 J一主要包括|i‘l』始化程序设计,以放光成函数发生器命令的接收解释、发送、数宁训暂,完成肘I)DS系统、模拟通道的撺制程序世训,还包捂信号参数的 校碓等功能设¨。软什的设计采川结构化,模块化的程序结构设计,使样序便f维护和进步的开发。51嵌入式LlNUX操作系统在嵌入武系统rf-,III十远{__的硬件环境干u软件蟹求差别根人,所以It特定的J训玑r特贾根据硬什改计柬埘软件环境进行=|=|I应的配斤,H时|_}王足为了满足心用的其体蛭求。埘J‘ 本系统米I兑,山j‘功能已确jE,所以需要按j!{l功能需求刊c,TIUX操作系统进行哉鲫以满足 文际的硬件和软件需篮。5 11系统bootIoadlnux存运行前,需要个Bootl,0;vler(BIOS)术充成硬件的配胃和内棱的引导。交目kEditView锄ti扪5Ⅱan女er_soriptTookwjndow也b臼田…,翘。固q茵’‘囟1留麓。雷P…r…一t ………………*…………………………)Env.0s目uLo―F16921 <* (*<。Http舢//w ―lab―t …………………………………………*)s3C2410 BIOS V2007 o>*) f*B…d+)*>*)<*I'IAIIDFIaslPl―ed…nl Fl…h FI…h d…nt 4:[一e 5:Hritel40Rflashmh 6:setIoootIo…no0:USB dounloadt1…U d…nlselectB呲 f…u0|]:onad flle F11e Fiash2:H’1te Hand 3:LoadP……Fromr{andwlthadNandnd…F儿oe譬lonjadfile7:Set自mB呲……t…1:11nux 2:…ce≈eadv 5erial:CoHl20 46 20图5―1 bootload运行界面圉 江苏大学硕士学位论文叉编译器选择gcc 3.3.2,交叉编译环境是RedHat9.0。BootLoader运行界面如图5―1 所示:Bootload的功能主要有: ?通过USB下载数据 ?通过UART下载数据 ?将下载的数据写入NandFlash?加载NandFlash中的程序并运行?擦除NandFlash?将下载的数据写入NOIUlash?设置引导参数 ?设置自动引导参数 ?硬件检测(SDRAM、FLASH、UART等)5.1.2系统内核 内核由BootLoader引导,它是0S的核心,此外还包括一些模块,用于支持系统的外围设备模块,如USB、LCD、声卡等。本系统采用的是Linux 2.6.13成熟内核,性能稳定, 功能强大。按照考勤机系统的要求,我们需要内核具有如下功能:?可加载模块:外围功能设备足通过模块的方式来进行工作的,所以此项必须。 支持¥3C2440:它是ARM920T的核。??支持热插拔:为了支持常见的热插拔设备必须要选择此功能。 ?支持网络:选择TCP/IP协议栈。?支持ELF格式可执行文件:此系统使用此格式的可执行文件。?支持MTD:它主要用于实现对NAND Flash的访问控制。 支持文件系统:我们选择的是CompressedRom Fi l e?System和Yaffs2。前一个做为root文件系统,后一个做为读写文件系统用于保存本机配置信息。为了便于调试,还 需要选择NFS。?支持FrameBuffer:由于GUI使用Qt来实现,所以需要FrameBuffer的支持。为了支持LCD,也必须要选择此功能。?支持Sound:主要用于实现系统播放声音文件。 ?支持USB:主要包括¥3C2440 USB控制器、USB摄像头。47 江苏走学硕士学位论t套活躺辑篮茸¨墨l冀置帮助图5-2配置内植的界面L述功能?Ⅱ以通过使用…dk c、IIⅢ呲洲fiE配R I…ux内核时进行选择。内桉配1酣完成后,川以生成zlm,Lg。和棚训内模块。然厉将ZI nl;lge。,;八虹mel分区,模块文件放入文件系统的1I})下即川,这样半系统引甘内核厉,可以按婪求加城指定 模块米完成相心功能。5 13文件系统 st文件系统选择使用c“tmh只读立件系统。此文件系统保存系统们动脚奉、配置foi义件、系统命令等只读义竹,这此文件小需要修改。对r需要柏读巧功能的目求和文件,町吼将它训挂接到ⅢnIsr,{I【】el C H求、lrnpH求等,它刑小.篇要保存。配霄信息保存文什系统使用va rI s可读丐义件系统。此文件系统’=要H{r仪存本机的配青信息,它们需晏修改,而凡在掉电后还需要舡保存.所以使用vaII S这样的?叮读写文什系统。 江苏大学硕士学位论文5.2系统驱动程序本系统需要驱动的设备主要有IIC键盘,LCD显示屏,DM9000A。由于系统使用的是嵌入式LINUX操作系统,所以所以驱动必须以此操作系统的架构来进行编写。操作系统已经 附带LCD显示驱动和DM9000A的驱动程序,所以只需按照原理图进行相关移植即可。IIC键盘的驱动按照标准的I IC协议和¥3C2440进行通信。5.3界面应用程序GUI采用Qt/Embedded2.3.7作为用户操作图形接口

我要回帖

更多关于 电视信号源 的文章

 

随机推荐