EDA技术应用支持题 试写出四选一多路战龙兽选择器的VHDL描述。选择控制信号为

EDA技术试题库
EDA试题库建设[70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。]基础题部分填空题(140空)1.一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。2.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为(功能仿真)。4.VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。6.以EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者(CPLD)芯片中,完成硬件设计和验证。7.MAX+PLUS的文本文件类型是(.VHD)。8.在PC上利用VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。9.VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。10.常用EDA的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。11.在VHDL程序中,(实体)和(结构体)是两个必须的基本部分。12.将硬件描述语言转化为硬件电路的重要工具软件称为(HDL综合器)。13、VHDL的数据对象分为(常量)、(变量)和(信号)3类。14、VHDL的操作符包括(算术运算符)和(符号运算符)。15、常用硬件描述语言有(VeilogHDL)、(AHDL)以及(VHDL)。16、VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。17、VHDL同或逻辑操作符是(XNOR)。18、原理图文件类型后缀名是(.GDF),VeilogHDL语言文本文件类型的后缀名是(.V)。19、十六进制数16#E#E1对应的十进制数值是(224)。20、一个完整的VHDL程序应包含三个基本部分,即库文件说明、(程序包应用说明)和(实体和结构体说明)。21、VHDL不等于关系运算符是(=)。22、STD_LOGIC_1164程序包是(IEEE)库中最常用的程序包。23.文本输入是指采用(硬件描述语言)进行电路设计的方式。24.当前最流行的并成为IEEE标准的硬件描述语言包括(vhdl)和(veilog)。25.采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上)的设计。26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。27.EDA工具大致可以分为(设计输入编辑器)、(仿真器)、(hdl综合器)、(适配器)以及(下载器)等5个模块。
& 果果文库所有资源均来源于互联网,仅供网友学习交流,若侵犯了您的权益,请联系我们予以删除。
3774&&人浏览
12634&&人浏览
8022&&人浏览
14146&&人浏览
3331&&人浏览
18056&&人浏览
14153&&人浏览
18633&&人浏览
7592&&人浏览
14610&&人浏览
15478&&人浏览
10069&&人浏览
7802&&人浏览
1090&&人浏览
16189&&人浏览
本文标题:EDA技术试题库 链接地址:
2013- Inc. All Rights Reserved 果果文库 版权所有 联系站长: ; 经营许可证编号:浙ICP备号EDA技术实用教程(第三版)--详细介绍
图书书名 图书作者
图书书号发行号系列名
&当前位置:
EDA技术实用教程(第三版)
EDA技术实用教程(第三版)
作者:潘松 黄继业
书名:EDA技术实用教程(第三版)
定价:¥33 元
丛书名: &
免费资源下载:
本书PPT下载:
目录和样章下载:
模拟试题库下载:
教学资料包下载:
实例素材下载:
标准书号:&7-03-
字数(千):&606
出版日期:&
发行号:&TP-3361
点击热度:&45471
习题答案下载:
教学视频下载:
电子教案下载:
.........................................................................................
.........................................................................................
普通高等教育“十一五”国家级规划教材浙江省重点教材
.........................................................................................
&&&&本书根据课堂教学和实验操作的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术、VHDL硬件描述语言、FPGA开发应用及相关知识作了系统和完整的介绍,使读者通过本书的学习并完成推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。全书内容分四部分,每一部分对EDA的基本知识、常用的EDA工具使用方法和目标器件的结构原理作了介绍;第二部分以向导的形式和实例为主的方法介绍了3种不同的设计输入方法;第三部分对VHDL的设计优化作了介绍;第四部分详述了基于EDA技术较典型的设计项目。各章都安排了相应的习题和有较强针对性的实验和设计实践要求。书中给出的绝大部分VHDL设计实例和实验示例实现的EDA工具平台是QuartusII6.0,硬件平台是CycloneII&FPGA,并在EDA实验系统上通过了硬件测试。&&&&为了方便本课程的授课和实验指导,同时将推出与本书各章节内容完全对应的CAI教学软件。&&&&本书可作为高等院校的电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、EDA技术课程和VHDL硬件描述语言的教材及实验指导书,也可作为相关专业技术人员的自学参考书。
.........................................................................................
&&&&随着基于PLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自控及计算机应用等领域的重要性日益突出。在技术市场与人才市场对EDA的需求在不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。&&&&以全国大学生电子设计竞赛为例,直到上届赛事中,需要使用EDA技术的赛题超过了全部赛题的1/3,其中有的赛题达到了如果没有EDA技术,将无从下手的程度。事实上,电子设计竞赛赛题的内容既是市场产品要求和技术进步的一种反映,也是对高校相关教学实验内容改革的要求和促进。对美国的一些高校电子与计算机实验室建设的调研资料表明,许多著名院校基于PLD的EDA技术在本科教学中有两个明显的特点:1、各专业中EDA教学实验课程的普及率极高;2、在实验中EDA试验成为主流,大部分传统的实验都融入了EDA实验,如数字电路、计算机组成、接口、通信、处理器等实验内容,并更多地注重创新性实验,这显然是科技发展和市场需求的结果。&&&&为了适应EDA技术的发展和EDA技术教学实验的要求,更加突出实验中EDA技术的实用性,面向工程实际的特点和电子设计的自主创新性,在本书的第3版中的实验类型和内容上都作了增加,每一实验的层次性更加清楚,并注重学生设计能力和自主创新能力的培养,以及与工程实际相结合的动手能力的培养。另一方面,实验内容的选择上,突出EDA技术的特点和优势,所以将原来的“实验”改为“实验与设计”。在章节的安排上也作了一定的调整。在实验项目上增加和扩充了一些与全国大学生电子设计竞赛典型赛题相关的内容,对于比较典型的设计项目给出了更为详尽的说明。&&&&我们一直认为,相比于其他课程,EDA技术的教学具有自身的规律和独特性。首先是教学内容,对此可以分为3个层次:1、逻辑行为的实现。作为最低层次,即用EDA工具完成数字电路实验中的部分内容,如红绿灯控制、数码译码显示、逻辑表决、数字钟表、普通频率计等等纯逻辑行为实现方面的电路设计。其特点是工作频率低,非EDA技术及相关器件也能实现,无法体现EDA技术的优势,而只能作为初学的过渡;2、控制与信号传输功能的实现。作为第二层次,如A/D高速采样、自动化控制、逻辑分析仪、存储示波器、虚拟仪表、接口与通信模块的设计等,特点是必须使用EDA技术才也能实现,技术指标大幅度提高,能体现EDA技术的优势,是电子设计竞赛赛题最有可能出题的范围;3、算法的实现。作为最高层次,如FIR、FFT、CPU、软核嵌入式系统乃至SOPC的设计开发等,这个层次的最大特点是设计对象上升到系统级包含软硬件联合设计,设计技术突破了一般的EDA技术,而涵盖更广更多的其它学科分支的理论和技术。&&&&其次是改善教学方法。考虑到目前的本科课程门类已大为增加,任何一门非公共课的学时都不会很多。因此突出要点才能有效控制学时。建议这门课可安排约50学时左右,并以实验实践课为主,这就要求以引导性教学为主。建议对VHDL的教学不必像C语言或汇编语言那样逐条语句讲授,而应结合具体实例讲解最基本的语句现象及其使用方法。第三就是注重教学实效。数字电路与EDA技术课程的侧重点不同,前者侧重于逻辑行为实现的认知和验证;后者具有很强的实践性,侧重于实用电子系统的设计,侧重培养学生的自主创新的意识和能力,针对性强的实验应该是教学的重要环节。因此EDA实验更应注重实验的质量,而决非注重使用了什么软硬件。&&&&在初级阶段,完成一些验证性实验,如用EDA工具重复一些数字电路课中的实验,因而可用手工插线方式来完成“设计”,而不涉及任何技术指标和系统规模。&&&&然而,众所周知,实用电子系统的技术指标是十分重要的,这包括速度、面积(芯片资源)、可靠性、容错性、电磁兼容性等。有时往往指标要求决定了所使用的技术,指标要求推动技术的发展。全国大学生电子设计竞赛题中从来不提使用何种工具或技术来完成赛题,但参赛者不得不根据给出的技术指标做出选择。因此,EDA课程的实验,除了必须完成的基础性项目外,引导学生完成一些传统电子设计技术(包括单片机)不能实现的内容,从而突出这一现代电子设计技术的优势十分必要。例如UART、PS/2接口或CPU的设计突出了自主知识产权的概念;VGA显示器的控制或状态机控制A/D采样突出了高速性能指标的实现;FIR设计表现了基于EDA技术特有的IP应用技术;纯硬件奏乐电路的设计体现了EDA工具面对复杂逻辑电路设计的突出优势等等。在这些实践过程中,会使学生发现,诸如ISP下载方式、FPGA、ASIC乃至EDA软件等设计手段本身都成了配角,而惟有对更高质地完成实验项目而不懈追求的设计能动性、创造性和自主应用系统的实现成了主角,从而有效地提高了这门以培养工程实践能力为主的课程的教学效果。&&&&基于以上的认识,我们对本书各章节作了相应的安排。本书具有如下三个特点:1.&注重实践与实用在各章中都安排了许多习题。绝大部分章节都安排了针对性较强的实验,使学生对每一章的课堂的教学效果能及时通过实验得以强化。各章设置的大部分实验除给出详细的实验目的、实验原理、实验思考题和实验报告要求外,还包含2至4个实验项目(层次),即:第一实验项目(层次)是与该章内容相关的验证性实验,课本提供了详细的并被验证的设计程序和实验方法,学生只需将提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上实现即可;第二实验项目(层次)是在上一实验基础上作进一步的发挥;第三、四实验项目(层次)属于自主设计或创新性质的实验。教师可以根据实验学时数和教学实验的要求布置不同层次的实验项目。2.&注重速成一般认为EDA技术难点和学习费时的根源在于VHDL语言。对此,全书作了有针对性的安排:根据电子类专业的特点,放弃流行的计算机语言的教学模式,打破目前VHDL教材通用的编排形式,以电子线路设计为基点,从实例的介绍中引出VHDL语句语法内容。在典型示例的说明方面,本书也颇具独到之处:示例说明中,除给出完整并被验证过的VHDL描述外,还给出其综合后的RTL电路图,以及表现该电路系统功能的时序波形图;对于容易出现的设计错误或理解歧义的示例,将给出正误示例的比较和详细说明。通过一些简单、直观、典型的实例,将VHDL中最核心、最基本的内容解释清楚,使读者在很短的时间内就能有效地把握VHDL的主干内容,而不必花费大量的时间去“系统地”学习语法。通常,这些内容可分别在约8个授课学时加8个实验学时内完成,即约三个整天的时间。其教学效果在过去与许多高校联合举办的EDA研习班上已得到了充分的证明。3.&注重系统性、完整性与独立性相结合全书力争在不增加课时的情况下保持内容的系统性和完整性,使读者通过本书的学习和推荐的实验,初步掌握EDA技术最基本的内容。另一方面,书中大部分章节具有相对独立性,读者可根据自己的情况有针对性地选读某一章或几章,这有利于学时的安排和不同专业或学制的选用。&&&&本书第三版与第二版的最大区别是,第二版所基于的软件平台是MAX+plus&II,硬件平台是ACEX1K系列FPGA;而第三版中的VHDL语法说明、实验示例的EDA软件平台是Quartus&II和Synplify,辅助工具是MATLAB、ModelSim和DSP&Builder,硬件平台主要是Cyclone/Cyclone&II&FPGA。&&&&现代电子设计技术是发展的,相应的教学内容和教学方法也应不断改进,其中一定有许多问题值得深入探讨,也包括以上提出的有关EDA教学的一家之言。我们真诚地欢迎读者对书中的错误与有失偏颇之处给予批评指正(E-mail:span88@mail.,网址:www.)。
.........................................................................................
第1章&&概述1.1&&EDA技术及其发展1.2&&EDA技术实现目标1.3&&硬件描述语言VHDL1.4&&VHDL综合1.5&&基于VHDL的自顶向下设计方法1.3&&EDA技术的优势1.3&&EDA的发展趋势习题第2章&&EDA设计流程及其工具2.1&&设计流程2.1.1&&设计输入(原理图/HDL文本编辑)&2.1.2&&综合2.1.3&&适配2.1.4&&时序仿真与功能仿真2.1.5&&编程下载2.1.6&&硬件测试2.2&&ASIC及其设计流程2.2.1&&ASIC设计方法2.2.2&&一般ASIC设计的流程2.3&&常用EDA工具2.3.1&&设计输入编辑器2.3.2&&HDL综合器2.3.3&&仿真器2.3.4&&适配器2.3.5&&下载器2.4&&QuartusII简介2.5&&IP核简介习题第3章&&FPGA/CPLD结构与应用3.1&&概述3.1.1&&可编程逻辑器件的发展历程3.1.2&&可编程逻辑器件的分类3.2&&简单可编程逻辑器件原理3.2.1&&电路符号表示3.2.2&&PROM&3.2.3&&PLA3.2.4&&PAL3.2.5&&GAL3.3&&CPLD的结构与工作原理3.4&&FPGA的结构与工作原理3.4.1&&查找表逻辑结构3.4.2&&Cyclone/CycloneII系列器件的结构与原理3.5&&硬件测试技术3.5.1&&内部逻辑测试3.5.2&&JTAG边界扫描测试3.5.3&&嵌入式逻辑分析仪3.6&&FPGA/CPLD产品概述3.6.1&&Lattice公司CPLD器件系列3.6.2&&Xilinx公司的FPGA和CPLD器件系列3.6.3&&Altera公司FPGA和CPLD器件系列3.6.4&&Actel公司的FPGA器件3.6.5&&Altera公司的FPGA配置方式与配置器件3.7&&编程与配置3.7.1&&JTAG方式的在系统编程3.7.2&&使用PC并行口配置FPGA3.7.3&&FPGA专用配置器件3.7.4&&使用单片机配置FPGA3.7.5&&使用CPLD配置FPGA习题第4章&&VHDL设计初步4.1&&多路选择器的VHDL描述4.1.1&&2选1多路选择器的VHDL描述4.1.2&&相关语句结构和语法说明4.2&&寄存器描述及其VHDL语言现象4.2.1&&D触发器的VHDL描述4.2.2&&VHDL描述的语言现象说明4.2.3&&实现时序电路的VHDL不同表述4.2.4&&异步时序电路设计4.3&&1位二进制全加器的VHDL描述4.3.1&&半加器描述4.3.2&&CASE语句4.3.3&&全加器描述和例化语句4.4&&计数器设计4.4.1&&4位二进制加法计数器设计4.4.2&&整数类型4.4.3&&计数器设计的其他表述方法4.5&&一般加法计数器设计4.5.1&&相关语法说明4.5.2&&程序分析4.5.3&&含并行置位的移位寄存器设计习题第5章&&QuartusII应用向导5.1&&基本设计流程5.1.1&&建立工作库文件夹和编辑设计文件5.1.2&&创建工程5.1.3&&编译前设置5.1.4&&全程编译5.1.5&&时序仿真5.1.6&&应用RTL电路图观察器5.2&&引脚设置和下载5.2.1&&引脚锁定5.2.2&&配置文件下载5.2.3&&AS模式编程配置器件5.2.4&&JTAG间接模式编程配置器件5.2.5&&USB&Blaster编程配置器件使用方法5.3&&嵌入式逻辑分析仪使用方法5.4&&原理图输入设计方法5.4.1&&设计流程5.4.2&&应用宏模块的原理图设计习题实验与设计5-1&&组合电路的设计5-2&&时序电路的设计5-3&&设计含异步清0和同步时钟使能的加法计数器5-4&&用原理图输入法设计8位全加器5-5&&用原理图输入法设计较复杂数字系统第6章&&VHDL设计进阶6.1&&数据对象6.1.1&&常数6.1.2&&变量6.1.3&&信号6.1.4&&进程中的信号与变量赋值6.2&&双向和三态电路信号赋值例解6.2.1&&三态门设计6.2.2&&双向端口设计6.2.3&&三态总线电路设计6.3&&IF语句概述6.4&&进程语句归纳6.4.1&&进程语句格式6.4.2&&进程结构组成6.4.3&&进程要点6.5&&并行语句例解6.6&&仿真延时6.6.1&&固有延时6.6.2&&传输延时6.6.3&&仿真&?习题实验与设计6-1&&七段数码显示译码器设计6-2&&八位数码扫描显示电路设计6-3&&数控分频器的设计6-4&&32位并进/并出移位寄存器设计第7章&&宏功能模块与IP应用7.1&&宏功能模块概述7.1.1&&知识产权核的应用7.1.2&使用MegaWizard&Plug-In&Manager7.1.3&&在QuartusII中对宏功能模块进行例化7.2&&宏模块应用实例7.2.1&&工作原理7.2.2&&定制初始化数据文件7.2.3&&定制LPM_ROM元件7.2.4&&完成顶层设计7.3&&在系统存储器数据读写编辑器应用7.4&&编辑SignalTapII的触发信号7.5&&其他存储器模块的定制与应用7.5.1&&RAM定制7.5.2&&FIFO定制7.6&&流水线乘法累加器的混合输入设计7.7&&LPM嵌入式锁相环调用7.7.1&&建立嵌入式锁相环元件7.7.2&&测试锁相环7.8&&IP核NCO数控振荡器使用方法7.9&&8051单片机IP核应用习题实验与设计7-1&&正弦信号发生器设计7-2&&八位16进制频率计设计7-3&&利用LPM_ROM设计乘法器7-4&&IP核应用实验7-5&&8051单片机IP核应用实验第8章&&状态机设计8.1&&一般有限状态机设计8.1.1&&数据类型定义语句8.1.2&&为什么要使用状态机8.1.3&&一般有限状态机的设计8.2&&Moore型有限状态机设计8.2.1&&多进程有限状态机8.2.2&&单进程Moore型有限状态机8.3&&Mealy型有限状态机设计8.4&&状态编码8.4.1&&状态位直接输出型编码8.4.2&&顺序编码8.4.3&&一位热码编码8.5&&非法状态处理习题实验与设计8-1&&序列检测器设计8-2&&ADC0809采样控制电路实现8-3&&数据采集电路和简易存储示波器设计8-4&&比较器和D/A器件实现A/D转换功能的电路设计8-5&&通用异步收发器设计第9章&&VHDL结构与要素9.1&&实体9.1.1&&实体语句结构9.1.2&&参数传递说明语句9.1.3&&参数传递映射语句9.1.4&&端口说明语句9.2&&结构体9.3&&子程序9.3.1&&函数9.3.2&&重载函数9.3.3&&转换函数9.3.4&&决断函数9.3.5&&过程9.3.6&&重载过程9.4&&VHDL库9.4.1&&库的种类9.4.2&&库的用法9.5&&程序包9.6&&配置9.7&&VHDL文字规则9.7.1&&数字9.7.2&&字符串9.7.3&&标识符9.7.4&&下标名9.8&&数据类型9.8.1&&预定义数据类型9.8.2&&IEEE预定义标准逻辑位与矢量9.8.3&&其他预定义标准数据类型9.8.4&&数组类型9.9&&操作符9.9.1&&逻辑操作符9.9.2&&关系操作符9.9.3&&算术操作符习题实验与设计9-1&&乐曲硬件演奏电路设计9-2&&乒乓球游戏电路设计9-3&&采用高速A/D的存储示波器设计9-4&&循环冗余校验(CRC)模块设计第10章&&VHDL基本语句10.1&&顺序语句10.1.1&&赋值语句10.1.2&&IF语句10.1.3&&CASE语句10.1.4&&LOOP语句10.1.5&&NEXT语句10.1.6&&EXIT语句10.1.7&&WAIT语句10.1.8&&子程序调用语句10.1.9&&RETURN语句10.1.10&&空操作语句10.2&&并行语句10.2.1&&并行信号赋值语句10.2.2&&块语句结构10.2.3&&并行过程调用语句10.2.4&&元件例化语句10.2.5&&生成语句10.2.6&&REPORT语句10.2.7&&断言语句10.3&&属性描述与定义语句习题实验与设计10-1&&移位相加硬件乘法器设计10-2&&等精度频率计/相位计设计10-3&&基于8051单片机IP核的等精度频率计单片系统设计(LCD显示)10-4&&基于8051单片机IP核的等精度频率计单片系统设计(LED显示)第11章&&优化和时序分析11.1&&资源优化11.1.1&&资源共享11.1.2&&逻辑优化11.1.3&&串行化11.2&&速度优化11.2.1&&流水线设计11.2.2&&寄存器配平11.2.3&&关键路径法11.3&&优化设置与时序分析11.3.1&&Settings设置11.3.2&&HDL版本设置及Analysis&&&Synthesis功能11.3.3&&Analysis&&&Synthesis的优化设置11.3.4&&适配器Fitter设置11.3.5&&增量布局布线控制设置11.3.6&&使用Design&Assistant检查设计可靠性11.3.7&&时序设置与分析11.3.8&&查看时序分析结果11.3.9&&适配优化设置示例11.3.10&&Slow&Slew&Rate设置11.3.11&&LogicLock优化技术11.4&&Chip&Editor应用11.4.1&&Chip&Editor应用实例11.4.2&&Chip&Editor功能说明11.4.3&&利用Change&Manager检测底层逻辑习题实验与设计11-1&&采用流水线技术设计高速数字相关器11-2&&线性反馈移位寄存器设计11-3&&直接数字式频率合成器(DDS)设计11-4&&基于DDS的数字移相信号发生器设计11-5&&基于DDS的幅度调制AM信号发生器设计11-6&&频率调制FM信号发生器设计第12章&&系统仿真12.1&&仿真12.2&&VHDL源程序仿真12.3&&仿真激励信号的产生12.4&&VHDL测试基准12.5&&VHDL系统级仿真12.6&&使用ModelSim进行仿真12.7&&VHDL的RTL表述12.7.1&&行为描述12.7.2&&数据流描述12.7.3&&结构描述第13章&&电子系统设计实践13.1&&VGA彩条信号显示控制器设计13.2&&VGA图象显示控制器设计13.3&&步进电机细分驱动控制13.4&&直流电机的PWM控制习题实验与设计13-1&VGA彩条信号显示控制器设计13-2&VGA图像显示控制器设计13-3&步进电机细分驱动控制实验13-4&直流电机PWM控制实验附录参考文献
All Rights Reserved
地址:北京市海淀区北三环西路43号青云当代大厦21层2109&& 邮编:100086
站长QQ: Email: 电话:010-
版权所有:科学出版社 职教技术出版中心 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
[信息与通信]《EDA技术实用教程第四版》习题答案
下载积分:500
内容提示:[信息与通信]《EDA技术实用教程第四版》习题答案
文档格式:PDF|
浏览次数:97|
上传日期: 11:22:44|
文档星级:
全文阅读已结束,如果下载本文需要使用
 500 积分
下载此文档
该用户还上传了这些文档
[信息与通信]《EDA技术实用教程第四版》习题答案
官方公共微信图书信息/EDA技术与VHDL(第4版)
EDA技术与VHDL(第4版)作者:潘松 黄继业图书详细信息:ISBN:9定价:38元印次:4-1装帧:平装印刷日期:
图书简介/EDA技术与VHDL(第4版)
本书系统介绍了和VHDL硬件描述语言,将VHDL的基础知识、编程技巧和实用方法与实际工程开发技术在先进的EDA设计平台——Quartus II上很好地结合起来,使读者能通过本书的学习迅速了解并掌握EDA技术的基本理论和工程开发实用技术,并为后续的深入学习和发展打下坚实的理论与实践基础。作者依据高校课堂教学和实验操作的规律与要求,并以提高学生的实际工程设计能力和自主创新能力为目的,对全书内容作了恰当的编排。全书共分为7个部分:EDA技术概述、VHDL语法知识及其实用技术、Quartus II及LPM宏模块的详细使用方法、基于Verilog的有限状态机设计技术、基于VHDL的16位实用CPU设计技术及创新实践项目、基于ModelSim的Test Bench、基于MATLAB和DSP Builder平台的EDA设计技术及大量实用系统设计示例。除个别章节外,各章都安排了相应的习题和大量针对性强的实验和设计项目。书中列举的VHDL示例都经编译通过或经硬件测试。本书主要面向高等院校本、专科EDA技术和VHDL语言基础课,推荐作为电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等专业和相关实验指导课的授课教材或主要参考书,同时也可作为电子设计竞赛、FPGA开发应用的自学参考书。
图书前言/EDA技术与VHDL(第4版)
与前几个版本相比,新版的内容与结构都有了较大变化,主要表现在以下几个方面。(1)为了突出重点、精简篇幅,将有关EDA技术、硬件描述语言导言的内容、EDA工具基本知识及FPGA与CPLD结构原理等基本概念的内容都放在了第1章。(2)对硬件描述语言的讲述,改用了比较系统和循序渐进的讲述方法,确保了HDL基本内容的完整性和系统性。为了便于读者理解和提高学习效率,给出了大量有很好针对性的HDL设计示例。这部分内容主要包含在第2、第3和第5章中。(3)为了将学到的HDL知识尽快付诸实践,更好地从结合工程实际的层面来检验学习效果,在较早阶段就安排了对应的硬件设计技术的学习和丰富的实验内容,同时将HDL知识的学习有机地融入了实验实践中。这部分内容主要包含在第4和第6章中。(4)第7章主要对前面的章节内容进行了归纳综合,其中许多内容是新加入的,为后续的学习奠定了更坚实的基础。(5)第8章的内容相比前一版有所扩充,主要是围绕有限状态机的设计,介绍了更为实用的EDA技术和HDL编程设计方法,其中增加了许多新的、更贴近工程实际的状态机设计与应用方面的实用知识。(6)第9章的内容基本是新增加的,其中介绍了一个较大的设计项目,即一个有实用意义的16位CPU的设计。这是一个很好的训练项目,是对之前的理论知识和实践能力的综合运用,而且此章内容还可以作为一个创新设计竞赛项目。首先给出一个完整的CPU设计原型和详细的设计流程,然后在这个基础上向参赛者提出不同层次要求的创新设计方案和系统设计要求。此类教学和实践内容在国外高校同类课程和教材中经常出现。(7)第10章主要介绍基于ModelSim的Test Bench仿真技术。这部分内容也是新增加的,这主要考虑到Quartus II10.0后不再支持内置的门级仿真器,而Test Bench仿真技术在EDA技术中更具一般性,适用领域更广、更实用。(8)第11章和第12章主要介绍基于DSP-Builder和MATLAB/Simulink的EDA技术,其中包括了大量设计示例和对应的实验。这部分内容主要是面向涉及复杂算法的EDA系统设计,如数字通信领域中的系统功能模块的设计或高速DSP硬件系统的设计,为EDA技术和复杂数字系统的设计和验证拓宽了领域,使不同的EDA工具轻易地融为一体,从而强化了各类EDA工具的优势。随着Quartus II和DSP-Builder版本的升级,这部分内容也有很大的变化和扩充,本书对原有的内容作了更新。与本书VHDL内容相对应的Verilog HDL教材可参考清华大学出版社出版的《EDA技术与Verilog HDL》。基于工程领域中EDA技术应用的巨大实用价值,以及重视EDA教学中实践能力和创新意识培养的极端重要性,本书的特色主要表现在如下两个方面。1.注重实践能力和创新能力的培养本书在绝大部分章节中都安排了针对性较强的实验与设计项目,使学生对每章的课堂教学内容能及时得以消化和强化,并尽可能地使学生从一开始学习就有机会将理论知识与实践、自主设计紧密联系起来。全书包含数十个实验及其相关的设计项目,这些项目涉及的EDA工具软件类型较多、技术领域也较宽、知识涉猎密集、针对性强,而且能启发自主创新意识。与书中的示例相同,所有的实验项目都通过了EDA工具的仿真测试及FPGA平台的硬件验证。每一个实验项目除了给出详细的实验目的、实验原理和实验报告外,还都包含2~5个子项目或子任务。它们通常分为:第一个层次的实验任务是与该章某个阐述内容相关的验证性实验,并提供详细的并被验证的设计源程序和实验方法,学生只需将提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上实现即可,这使学生有一个初步的感性认识,也提高了实验的效率;第二个层次的实验任务是要求在上一实验基础上做一些改进和发挥;第三个层次的实验通常是提出自主设计的要求和任务;第四、第五个实验层次则在仅给出一些提示的情况下提出自主创新性设计的要求。因此,教师可以根据学时数、教学实验的要求以及不同的学生对象,布置不同层次、不同任务的实验项目。2.注重教学选材的灵活性和完整性相结合本教材结构灵活的特点决定了授课课时数可长可短,应视具体的专业特点、课程定位及学习者的前期教育力度等因素而定,大致在30~54学时。考虑到EDA技术课程的特征和本教材的特色,具体教学可以是粗放型的,其中多数内容,特别是实践项目,都可直接放手于学生,让学生自己去查阅资料、提出问题、解决问题,乃至创新与创造,而授课教师只需做一个启蒙者、引导者、鼓励者和学生成果的检验者和评判者。授课的过程多数情况只需点到为止,大可不必拘泥细节、面面俱到。但有一个原则,即安排的实验学时数应多多益善。事实上,任何一门课程的学时数总是有限的,为了有效倍增学生的实践和自主设计的时间,可以借鉴清华大学的一项教改措施,即其电子系本科生从一入学就人手获得一块FPGA实验开发板,可从本科一年级一直用到研究生毕业。这是因为EDA技术本身就是一个可把全部实验和设计带回家的课程。我校对于这门课程也基本采用了这一措施,即每个上EDA课的同学都可借出一套EDA实验板,使他们能利用自己的计算机在课余时间完成自主设计项目,强化学习效果。实践表明,这种安排使得实验课时得到有效延长,教学成效明显提高。我们建议应该积极鼓励学生利用课余时间尽可能学完本书的全部内容,掌握本书介绍的所有EDA工具软件和相关开发手段,并尽可能多完成本书配置的实验和设计任务。甚至能参考教材中的要求,安排相关的创新设计竞赛,进一步激发学生的学习积极性和主动性,并培养他们的动手能力和自主创新能力。还有一个问题有必要在此探讨,即自主创新能力的培养尽管重要,但其有效提高绝非一朝一夕之事。多年的教学实践告诉我们,针对这一命题的教改必须从两方面入手:一是教学内容,二是设课时间,二者互为联系,不可偏废。前者主要指建立一个内在相关性好、设课时间灵活,且易于将创新能力培养寓于知识传播之中的课程体系。后者主要指在课程安排的时段上,将这一体系的课程尽可能地提前。这一举措是成功的关键,因为我们不可能到了本科三、四年级才去关注能力培养,并期待奇迹发生,更不可能指望一两门课程就能解决问题。尤其是以卓越工程师为培养目标的工科高等教育,自主创新能力的培养本身就是一项教学双方必须投入密集实践和探索的创新活动。我校的EDA技术国家级精品课程正是针对这一教改目标建立的课程体系,而“数字电子技术基础”是这一体系的组成部分和先导课程。它的提前设课是整个课程体系提前的必要条件。通过数年的试点教学实践和经验总结,现已成功在部分本科学生中将此课程的设课时间从原来的第4学期或第5学期提前到了第1或第2学期。而这一体系的其他相关课程,如EDA技术、单片机(相关教材是清华大学出版社的《单片机原理与应用技术》,潘明、黄继业等编著)、SOC片上系统、计算机接口、嵌入式系统和DSP等也相应提前,从而使学生到本科二年级时就具备了培养工程实践和自主开发的能力。不可否认,数字电路课程的大幅提前必须要以其教学内容的改革为前提,否则将传统的教学内容强行提前必将归于失败。为此,在总结了数字电路多年教改成果的基础上,我们推出了适应新需求的教材,即《实用数字电子技术基础》一书,很好地适应了当前的教改目标。此书创新性地解决了传统教材中的手工数字技术与现代自动化数字技术间的关系,使二者能平稳过渡且有机融合,在有效促进理论与实践紧密结合的同时,强化实践训练突显了创新意识,同时实现了与后续课程的良好衔接。尽管这一切尚处于我校的局部教学实践中,但已清晰地展示了诸多颇具说服力的证明。例如,相比于其他学生(如同年级、同专业、同授业课程等),那些曾经参加这一课程体系的学生在大学生电子设计竞赛、飞思卡尔车模大赛以及一些国外企业主导的自主设计赛事中,都获得了更多的奖项和更好的成绩。而且这些学生的获奖比例逐年提高,例如,在2011年我校的全国大学生电子设计竞赛的一、二等奖项(分别是3个和6个)的获奖同学中,本科二年级学生的比例高达80%(该年我校三年级学生多数选择考研复习,未参赛)。若按传统的工科本科教学流程,二年级学生就有能力获全国一等奖是不可想象的!其实,类似的教改活动和教改成绩,我校远非唯一。国内早有不少院校将数字电路放在第1或第2学期,其实践训练的内容包括超过数万至数十万逻辑门规模的数字系统自主设计,不少受益的学生在各类电子设计竞赛中也都获得了好成绩。前面提到的清华大学的教改活动也说明,他们至少有部分学生于本科一年级就有数字系统设计方面的训练;后来的调研也证明了这一点,如该校计算机专业本科二年级学生就能自主设计出各种极具创新特色的数字系统,如语音处理及数字立体声播放、硬件超级玛丽游戏显示与控制系统等;又如东南大学在某次省级数字电路课程电子设计竞赛中,有一组同学完成了指纹识别数字锁的设计而获一等奖;再如美国Michigan大学本科一年级学生就能设计数字电子琴这样的复杂系统,其中包括用FPGA控制VGA显示五线谱,PS/2键盘作为琴键及数字立体声音乐播放等。另外有两个问题必须在此说明。第一是关于本书对于Quartus II版本选择的问题。尽管目前Altera早已颁布了Quartus II12.0,但本书中的示例说明仍然选择Quartus II9.x。这是因为Altera已将Quartus II10.0及此后版本软件中一贯内置的门级波形仿真器移除了,并推荐使用接口于Quartus II的ModelSim-Altera仿真器。然而这一举措对于初学者未必是一个好消息。因为Quartus II9.x及之前版本软件中一直内置的波形仿真器具有易学、高效和便捷的巨大优势,对EDA教学和初学者的学习是十分重要的。况且Quartus II9.x与Quartus II12.0比,总体上变化不大,且本书在第10章中针对Test Bench仿真,重点介绍了ModelSim-Altera的使用方法。第二是针对本书中的实验和实践项目所能提供的演示示例源设计文件的问题。本书中多数实验都能提供经硬件验证调试好的演示示例源文件,目的是让读者能顺利完成实验验证和设计;有的示例是希望能启发或引导读者完成更有创意的设计,其中一些示例尽管看上去颇有创意,但不能说是最佳或最终结果,这给读者留有许多改进和发挥的余地。此外还有少数示例无法提供源代码(只能提供演示文件),是考虑到本书作者以外设计者的著作权,但这些示例仍能给读者在设计的可行性、创意和创新方面以宝贵的启示。所有与本书相关的设计示例和实验项目的源设计程序和工程项目(包括第9章的CPU设计和第11、第12章基于DSP-Builder/MATLAB的设计)都可浏览相关网址或与作者联系免费索取。
图书目录/EDA技术与VHDL(第4版)
第1章 EDA技术概述 11.1 EDA技术 11.2 EDA技术应用对象 21.3 硬件描述语言VHDL 31.4 EDA技术的优势 41.5 面向FPGA的EDA开发流程 51.5.1 设计输入 51.5.2 综合 61.5.3 适配(布线布局) 81.5.4 仿真 81.5.5 RTL描述 91.6 可编程逻辑器件 91.6.1 PLD的分类 101.6.2 PROM可编程原理 111.6.3 GAL 121.7 CPLD的结构与可编程原理 131.8 FPGA的结构与工作原理 161.8.1 查找表逻辑结构 161.8.2 Cyclone III系列器件的结构原理 171.9 硬件测试技术 191.9.1 内部逻辑测试 191.9.2 JTAG边界扫描测试 201.10 编程与配置 201.11 Quartus II 211.12 IP核 231.13 EDA的发展趋势 23习题 25第2章 VHDL程序结构与数据对象 262.1 VHDL程序结构 262.2 VHDL程序基本构建 282.2.1 实体和端口模式 282.2.2 结构体 292.2.3 库和库的种类 302.2.4 库和程序包的调用方法 322.2.5 配置 332.3 VHDL文字规则 332.3.1 数字 342.3.2 字符串 342.3.3 关键词 352.3.4 标识符及其表述规则 352.3.5 文件取名和存盘 362.3.6 规范的程序书写格式 362.4 VHDL数据对象 372.4.1 常数 372.4.2 变量 372.4.3 信号 38习题 40第3章 VHDL数据类型与顺序语句 413.1 VHDL数据类型 413.1.1 BIT和BIT_VECTOR类型 423.1.2 STD_LOGIC和STD_LOGIC_VECTOR类型 423.1.3 整数类型INTEGER 443.1.4 布尔数据类型BOOLEAN 453.1.5 SIGNED和UNSIGNED类型 453.1.6 其他预定义类型 473.1.7 数据类型转换函数 483.2 VHDL最常用的顺序语句 503.2.1 赋值语句 503.2.2 CASE语句 513.2.3 PROCESS语句 523.2.4 并置操作符& 533.2.5 IF语句 533.3 IF语句使用示例 543.3.1 D触发器的VHDL描述 553.3.2 含异步复位和时钟使能的D触发器的VHDL描述 573.3.3 基本锁存器的VHDL描述 583.3.4 含清0控制的锁存器的VHDL描述 603.3.5 VHDL实现时序电路的不同表述方式 603.3.6 4位二进制加法计数器设计 623.3.7 计数器更常用的VHDL表达方式 633.3.8 实用计数器的VHDL设计 643.3.9 含同步并行预置功能的8位移位寄存器设计 673.3.10 优先编码器设计 683.4 VHDL其他顺序语句 693.4.1 LOOP循环语句 693.4.2 NEXT语句 703.4.3 EXIT语句 713.4.4 WAIT语句 723.4.5 GENERIC参数定义语句 753.4.6 REPORT语句 753.4.7 断言语句 763.4.8 端口数据含1个数统计电路模块设计 78习题 79第4章 时序仿真与硬件实现 804.1 VHDL程序输入与仿真测试 804.1.1 编辑和输入设计文件 804.1.2 创建工程 814.1.3 全程编译前约束项目设置 824.1.4 全程综合与编译 834.1.5 仿真测试 844.1.6 RTL图观察器应用 864.2 引脚锁定与硬件测试 874.2.1 引脚锁定 874.2.2 编译文件下载 884.2.3 JTAG间接编程模式 894.2.4 USB-Blaster驱动程序安装方法 904.3 电路原理图设计流程 914.3.1 用原理图输入方式设计半加器 914.3.2 完成全加器顶层设计 934.3.3 对全加器进行时序仿真和硬件测试 934.4 利用属性表述实现引脚锁定 944.5 宏模块逻辑功能查询 954.6 SignalTap II的使用方法 954.7 编辑SignalTap II的触发信号 100习题 100实验与设计 101实验4-1 多路选择器设计实验 101实验4-2 十六进制7段数码显示译码器设计 102实验4-3 计数器设计实验 103实验4-4 硬件消抖动电路设计 103实验4-5 应用宏模块设计数字频率计 104实验4-6 数码扫描显示电路设计 108实验4-7 串行静态显示控制电路设计 108实验4-8 不同类型的移位寄存器设计实验 109第5章 VHDL并行语句 1105.1 并行信号赋值语句 1105.1.1 简单信号赋值语句 1105.1.2 条件信号赋值语句 1115.1.3 选择信号赋值语句 1125.1.4 块语句 1135.1.5 元件例化语句 1135.1.6 全加器设计与例化语句应用 1145.1.7 生成语句 1165.1.8 GENERIC参数传递映射语句及其使用方法 1185.1.9 数据类型定义语句 1205.1.10 VHDL的存储器描述 1235.1.11 信号属性及属性函数 1245.2 VHDL运算操作符 1275.2.1 逻辑操作符 1275.2.2 关系操作符 1295.2.3 算术操作符 1305.2.4 省略赋值操作符 1335.3 keep属性应用 1335.4 SignalProbe使用方法 134习题 136实验与设计 137实验5-1 8位加法器设计实验 137实验5-2 高速硬件除法器设计 137实验5-3 移位相加型8位硬件乘法器设计 138实验5-4 基于VHDL代码的频率计设计 139实验5-5 VGA彩条信号显示控制电路设计 140第6章 LPM宏模块应用 1456.1 LPM计数器模块调用 1456.1.1 计数器模块文本的调用与参数设置 1456.1.2 创建工程与仿真测试 1486.2 乘法器的VHDL代码表述和相关属性设置 1486.3 LPM 随机存储器的设置和调用 1496.3.1 存储器初始化文件 1496.3.2 LPM_RAM的设置和调用 1516.3.3 仿真测试RAM宏模块 1526.3.4 存储器配置文件属性定义和结构设置 1536.4 LPM_ROM的定制和使用示例 1546.4.1 LPM_ROM的定制调用和测试 1546.4.2 简易正弦信号发生器设计 1556.4.3 正弦信号发生器硬件实现和测试 1566.5 在系统存储器数据读写编辑器应用 1566.6 LPM嵌入式锁相环调用 1586.7 In-System Sources and Probes Editor使用方法 1606.8 DDS实现原理与应用 1626.8.1 DDS原理 1636.8.2 DDS信号发生器设计示例 164习题 166实验与设计 166实验6-1 查表式硬件运算器设计 166实验6-2 正弦信号发生器设计 167实验6-3 DDS正弦信号发生器设计 167实验6-4 简易逻辑分析仪设计 168实验6-5 移相信号发生器设计 169实验6-6 VGA简单图像显示控制模块设计 169实验6-7 AM幅度调制信号发生器设计 170第7章 VHDL设计深入 1727.1 进程中的信号赋值与变量赋值 1727.2 含高阻输出的电路设计 1767.2.1 三态门设计 1777.2.2 双向端口的设计方法 1777.2.3 三态总线电路设计 1797.3 资源优化 1807.3.1 资源共享 1817.3.2 逻辑优化 1827.3.3 串行化 1837.4 速度优化 1857.4.1 流水线设计 1857.4.2 关键路径法 1877.5 仿真延时 1887.5.1 固有延时 1887.5.2 传输延时 1897.5.3 仿真δ 189习题 190实验与设计 191实验7-1 4X4阵列键盘键信号检测电路设计 191实验7-2 乐曲硬件演奏电路设计 192实验7-3 PS2键盘控制模型电子琴电路设计 195实验7-4 直流电机综合测控系统设计 197实验7-5 SPWM脉宽调制控制系统设计 199实验7-6 VGA动画图像显示控制电路设计 201第8章 VHDL状态机设计与应用 2028.1 VHDL状态机的一般形式 2028.1.1 状态机的特点与优势 2028.1.2 VHDL状态机的一般结构 2038.1.3 状态机设计初始约束与表述 2068.2 Moore型状态机的设计 2078.2.1 多进程状态机 2088.2.2 序列检测器之状态机设计 2118.3 Mealy型状态机的设计 2128.4 状态编码 2168.4.1 直接输出型编码 2168.4.2 顺序编码 2188.4.3 一位热码状态编码 2188.4.4 状态编码设置 2188.5 安全状态机设计 2208.5.1 程序直接导引法 2218.5.2 状态编码监测法 2218.5.3 借助EDA优化控制工具生成安全状态机 222习题 222实验与设计 222实验8-1 序列检测器设计 222实验8-2 并行ADC采样控制电路实现与硬件验证 223实验8-3 数据采集模块设计 224实验8-4 五功能智能逻辑笔设计 225实验8-5 串行ADC/DAC采样或信号输出控制电路设计 226第9章 基于VHDL的实用CPU创新设计 2279.1 KX9016的结构与特色 2279.2 KX9016基本硬件系统设计 2309.2.1 单步节拍发生模块 2309.2.2 运算器 2309.2.3 比较器 2319.2.4 基本寄存器与寄存器阵列组 2329.2.5 移位器 2359.2.6 程序与数据存储器 2369.3 KX9016v1指令系统设计 2369.3.1 指令格式 2379.3.2 指令操作码 2389.3.3 软件程序设计实例 2399.3.4 KX9016 v1控制器设计 2409.3.5 指令设计实例详解 2449.4 KX9016的时序仿真与硬件测试 2459.4.1 时序仿真与指令执行波形分析 2459.4.2 CPU工作情况的硬件测试 2479.5 KX9016应用程序设计实例和系统优化 2499.5.1 除法算法及其硬件实现 2499.5.2 乘法算法及其硬件实现 2509.5.3 KX9016v1的硬件系统优化 251习题 252实验与设计 253实验9-1 16位CPU验证性设计综合实验 253实验9-2 新指令设计及程序测试实验 253实验9-3 16位CPU的优化设计与创新 254实验9-4 CPU创新设计竞赛 255第10章 VHDL TEST BENCH仿真 25610.1 VHDL行为仿真流程 25710.2 VHDL测试基准实例 25910.3 VHDL Test Bench测试流程 26110.4 VHDL子程序 26310.4.1 函数 26410.4.2 重载函数 26610.4.3 决断函数 26910.4.4 过程 26910.4.5 重载过程 27110.4.6 子程序调用语句 27210.4.7 RETURN语句 27410.4.8 并行过程调用语句 27510.5 VHDL程序包 276习题 279实验与设计 279第11章 DSP BUIDER设计初步 28011.1 MATLAB/DSP Builder及其设计流程 28011.2 正弦信号发生器设计 28311.2.1 建立设计模型 28311.2.2 Simulink模型仿真 28911.2.3 SignalCompiler使用方法 29211.2.4 使用ModelSim进行RTL级仿真 29311.2.5 使用Quartus II实现时序仿真 29411.2.6 硬件测试与硬件实现 29511.3 DSP Builder层次化设计 29611.4 基于DSP Builder的DDS设计 29911.4.1 DDS模块设计 29911.4.2 FSK调制器设计 30111.4.3 正交信号发生器设计 30311.4.4 数控移相信号发生器设计 30411.4.5 幅度调制信号发生器设计 30411.5 数字编码与译码器设计 30611.5.1 伪随机序列 30611.5.2 帧同步检出 30711.6 HIL硬件仿真 309习题 313实验与设计 314实验11-1 利用MATLAB/DSP Builder设计基本电路模块 314实验11-2 基于DSP Builder的DDS应用模型设计 315实验11-3 编译码器设计实验 316实验11-4 HIL硬件环仿真实验 317实验11-5 DSP Builder状态机应用实验 317第12章 DSP BUILDER设计深入 31912.1 FIR数字滤波器设计 31912.1.1 FIR滤波器原理 31912.1.2 使用DSP Builder设计FIR滤波器 32012.1.3 使用MATLAB的滤波器设计工具 32412.1.4 使用FIR IP Core设计FIR滤波器 32812.2 HDL模块插入仿真与设计 33212.3 正交幅度调制与解调模型设计 33512.4 NCO IP核应用 33712.5 基于IP的数字编译码器设计 339习题 340实验与设计 341实验12-1 FIR数字滤波器设计实验 341实验12-2 编译码器与调制解调模块设计实验 342实验12-3 HDL Import模块应用实验 342附录A EDA开发系统及相关软硬件 343参考文献 349
&|&相关影像
互动百科的词条(含所附图片)系由网友上传,如果涉嫌侵权,请与客服联系,我们将按照法律之相关规定及时进行处理。未经许可,禁止商业网站等复制、抓取本站内容;合理使用者,请注明来源于。
登录后使用互动百科的服务,将会得到个性化的提示和帮助,还有机会和专业认证智愿者沟通。
此词条还可添加&
编辑次数:5次
参与编辑人数:4位
最近更新时间: 10:15:15
申请可获得以下专属权利:
贡献光荣榜

我要回帖

更多关于 多路归并排序 的文章

 

随机推荐