vivado2015.4破解教程1足够成熟了吗?可以完全取代ISE了吗

从多图对比看Vivado与ISE开发流程的差异_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
从多图对比看Vivado与ISE开发流程的差异
&&vivado相关基础知识
阅读已结束,下载文档到电脑
想免费下载更多文档?
定制HR最喜欢的简历
下载文档到电脑,方便使用
还剩4页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢多图对比:Vivado与ISE开发流程的差异
为了体现Vivado与ISE的开发流程以及性能差异,本文使用了相同的源码、器件,IP核分别用自己软件下的最新版本例化,时钟及管脚约束完全相同。
开发流程:
建立工程 -& 加入代码&-& 添加IP核&-& 初步综合&-& 添加约束&-& 综合实现
附:测试用源码&&感兴趣的童鞋可以自己试下
(点击可看大图)
1、建立工程——点评:
Vivado界面清爽,流程清晰,完败Q2。再也不会因ISE的低集成度,再在各组件中调来调去了。
2、加入代码——点评:
Add Source无明显区别。
3、添加IP核——点评:
由于core gen没有被ISE集成,即便是从ISE中打开core gen,也需要重新建立core gen工程,甚至需要选择与工程一样的元器件,并且生成IP核之后还需要手动添加到ISE工程内。(为了公平测试综合性能,本例在ISE中直接添加IP核网表文件;Vivado的dcp文件中包括综合网表)
4、初步综合——点评:
在添加约束前,最好先综合,以便明确综合后网表中的clk的名字。Vivado实时检查代码是否存在语法错误,而ISE需要综合的时候才能发现。
5、添加约束——点评:
由于ISE添加MMCM时没有加入自动生成的约束,需要重新添加时钟约束。而Vivado自动将IP核添加到工程内,是包含时钟约束的。为了更好的ISE和Vivado的综合性能,管脚位置与电平约束完全一致。
PS:若时钟和管脚约束直接敲命令,两者除了语法,没有明显区别。
6、综合实现——点评:
Vivado默认策略情况下从综合到生成bit共计23分50秒。而ISE第一遍默认策略情况下,25分钟实现结束,时序约束未过。修改实现策略为Timing Performance,又过了二十五分钟,时序还没有过……最后不得已上大招,SmartXplorer同时跑四个!!!!瞬间CPU占用率,内存利用率爆表- -/// 22分钟后,终于有一个时序通过的结果出现。对比效率,Vivado:ISE =3:1。
关注微信公众号49被浏览11617分享邀请回答03 条评论分享收藏感谢收起ISE VS VIVADO, 哪个坑更深?以FFT为例比较两个软件综合布线后的资源使用情况
作者:刘初一
&&&&从10年前接触FPGA开始,一直在用XILINX。记得 最早使用的好像是ISE6.X, 到现在的ISE14.7和VIVADO14.2.
&&&&10年来,见证了XILINX一直在努力升级,修复BUG,同时也在加倍努力创造新的BUG。终于,他们发现对ISE的修修补补已经不能满足他们对BUG的追求了,于是隆重推出了VIVADO。& 一直不知道VIVADO具体啥意思,也没考证过。倒是在路上经常看到叫VIVARO的面包车,不过这车是通用出的,和XILINX没半毛钱关系。
&&&&有那么多介绍VIVADO的文章,都只是介绍VIVADO的优势,基本上都是把XILINX的文档翻译一下,很难有直观的认识。那么VIVADO和ISE比,究竟好不好了?如果好,在哪了?下面我以大家都知道的FFT为例,比较一下两个软件的综合布线后的资源使用情况。
&&&&该FFT核配置为: 1024点, 16点复数并行输入输出, 13位输入,18位输出,碟型运算因子18位,选择的比较芯片为XC7K325T-2FFG900, 时钟设为250MHz. &设计全部用VHDL编码,RAM DSP等都通过代码来调用(infer),不使用XILINX的原语(PRIMITIVE)或者CORE GEN的IP CORE.
&&&&VIVADO和ISE布线后,都能满足4NS的时序要求。整个运行时间差别也不大 。
&&资源使用,无图无真相,直接截ISE的PAR报告
的,也是截的报告
把关键的参数列表比较:
VIVADO2014.2
&&&&VIVADO使用较少的LUT,但DFF多用了些,不过考虑到在SLICE中一个LUT对应两个DFF,多用些DFF问题不大。在其他的设计中,同样发现VIVADO也同样优化了LUT的使用。
&&&&但是VIVADO的RAM却多用了24个,这个问题就严重了。经过对应的比较,发现一些本应该用RAM18E1容量就够的地方,VIVADO却调用了RAM36E1。这方面,VIVADO还要继续改进。由于设计中所有的RAM都是通过代码调用,才会有这样的问题存在。 ISE中也一样有这样的问题。当然如果你使用CORE GEN产生RAM或者使用原语,则不会有这样的问题。总的来说,VIVADO还是有那么一些进步的。由于刚推出不久,还有很多BUG待发掘,待修复,待创造。
&&&&对于我个人来说,用VIVADO,完全是被XILINX这货逼的。目前接触的项目还没有用VIRTEX7的,还可以继续用ISE。 不过新做的和以前做的IP,都要用ISE和VIVADO做验证,增加的工作量。另外,VIVADO完全使用TCL,由于不熟悉TCL, 写它的脚本文件,确实花了一些时间,不过写好了,就可以像ISE的BATCH脚本一样,一直用上好多年。
更多“Vivado高效设计案例分享博文”请见:
关注微信公众号

我要回帖

更多关于 vivado2015.4 license 的文章

 

随机推荐