这张钱怎么回事?冠号AR33第第二个3怎么一半是红色,一半黑色?印刷错误?

第五套人民币10元红和双红是什么意思_百度知道
第五套人民币10元红和双红是什么意思
我有更好的答案
实事求是就是一切从实际出发,理论联系实际,就是坚持实践是检验真理的唯一标准。这一原理要求人们想问题、办事情都要坚持一切从实际出发,实事求是,达到主观与客观的统一。人民币收藏就更应该实事求是,理论联系实际,特别是99版人民币。客观的学习第五套人*民*币99版,把握9910面额白水印1-0——中水,很有必要。一、9910故事发展日央行陆续发行第五套人*民*币99版,日央行发行了9910票面。日央行发行了第五套人*民*币05版 ,、票面。随后,央行也公报了第五套人*民*币99版与05版的区别。其中最主要的一点,“增加了05版票面面额单位字母YUAN”,这一亮点引起了人们对第五套人*民*币99版的重视,特别是引起了钱币收藏爱好者的高度重视与深入研究。(一)钱币爱好者寻求99版2006年,钱币爱好者、钱币商,上蹿下跳,到处寻找99版。但是,为时已晚,市面流通、银行柜台、银行发行库里的人民币全都是05版人民币,没有99版人民币。99版人民币在市面流通领域,除了9的票面,其它、票面即使是旧币,也难得一见。(二)丧失兑换收藏99版的根本原因日央行陆续发行了第五套人民币99版。这一时期,人*民*币艺术品收藏市场在沉睡,50元跨世纪纪念钞、100元迎接新世纪龙钞在人民币文化艺术品市场也并无起色,也就没人兑换收藏99版人民币。一方面是主观的错误认识,导致丧失了兑换收藏99版人民币的机会;另一方面99版改05版并未对99版票面图案进行较大的改动,未能引起人们的关注。再一方面,99版改05版,可以说还没等人们意识到99版的错误问题,央行就已经完成改版,导致很多钱币爱好者丧失兑换收藏99版机会。这也就是99版四小龙存世量少的根本原因。(三)天大的游戏央行在较短(1年零1个月)的时间内就完成收缴销毁99版,印刷、投放发行05版的工作,动作之迅速,简直就是央行导演的一出天大游戏。(四)发现9910白水印2006年底,有的钱币爱好者发现了9910面额白水印1-0与10,因9910白水印1-0水印中间有杆连接,因此人们就把9910白水印1-0界定为横水;把9910白水印10界定为普水。因为很多钱币爱好者都找不到99版,只有到人*民*币文化艺术品市场求购。一时间9910面额白水印1-0横水与10普水在人*民*币文化艺术品市场开始抢手。2008年,9910人*民*币文化艺术品的市场价格被明显区分开来。因为9910白水印1-0横水的票面价格比9910白水印10普水的票面价格高出很多,币商配第五套人民币大全套,也都不使用9910白水印1-0横水的票面,只用价格相对低的9910白水印10普水配册。(五)9910新发现当很多钱币爱好者,还没有搞清楚9910白水印1-0横水与10普水,深入研究9910的钱币爱好者,又发现了9910左红右黑数字拼接码未拼红一。9910数码色彩拼接:一组数码,双色组码。第1位数字红色,第2位数字采用左红右黑色彩拼接,后6位数字黑色。在双色拼接第2位数字“1”的时候,左红右黑色彩拼接体现不出左红右黑色彩拼接效果,最终放弃使用色彩拼接码(有0510的第2位数字没有左红右黑色彩拼接为证),产生了红一与拼接红一。2009年人*民*币文化艺术品市场,最热门的话题是9910左红右黑数字拼接码未拼的9910红一、双红一。9910双红一是红一巧遇9910数字编码第1位数字红色1时,成为双红一。2010年人*民*币文化艺术品市场,9910白水印1-0横水与10普水,9910红一与9910双红一成为9910的主要亮点,横水与普水,红一与双红一的价格也就明显拉开了。(六)窄水与窄水红2011年初当钱币爱好者还在津津乐道9910白水印1-0横水与10普水、9910红一与双红一。9910双红一成为9910最大的亮点。正当9910双红一成为币王,即将一锤定音的时候,半路突然杀出了个程咬金——窄水红一。9910面额白水印1-0的长、宽,正好相等,形成正方形称为窄水。9910票面面额白水印1-0窄水,1-0水印,1与0之间的距离很窄,“0”瘦长,是两头尖的椭圆形。再加上1与0之间的横杆“-”,形成面额白水印窄水,水印“1-0”。因此9910面额白水印,1-0水印在人*民*币艺术品领域自然就成为了9910窄水的最热门话题。也就只有9910首发冠号,FA与FB31-40的是9910面额白水印是窄水。9910窄水FA、FB31-40就凭借窄水优势,与9910双红一展开了9910币王之争。一时间9910的价格,一浪高过一浪;9910双红一价格一路走高;FB31窄水红一的价格也紧跟其后,首发冠FA窄水双红一的价格一夜间,犹如悟空一个跟斗上到12800元一张,让人目瞪口呆,可望而不可及。有人说“得手一张FA窄水双红一,要乐坏半年”。这时候,好在整个经济形势下滑,人*民*币文化艺术品市场在疯狂中冷静了下来。但是对人*民*币文化艺术的深入研究、发现探索并没有停留。9910面额白水印1-0中水,以王者风范悄悄入驻在人*民*币收藏爱好者心中。二、9910面额白水印1-0中水挺身而出2012年人*民*币文化艺术品市场在疯狂中冷静了下来,但是,9910面额白水印1-0中水的价格一路上扬。这时9910面额白水印,五水,即1-0窄水、1-0宽水、1-0中水、10大圆水、10小圆水与五红一组成的9910十全十美人*民*币文化艺术品,发展了起来,也给人*民*币文化艺术品市场注入新的活力。(1)9910面额白水印1-0中水,就是9910面额白水印1-0比9910面额白水印1-0窄水宽,比9910面额白水印1-0宽水窄的面额白水印。9910面额白水印中水,它有独到的人*民*币文化艺术优势,“毛挺蛋高”,被广大人*民*币爱好者认可。9910面额白水印1-0中水市场价格一枝独秀稳步增涨。也拉动了13年人*民*币文化艺术品市场的价格上涨。(2)毛挺蛋高——中水,就是9910面额白水印1-0的“0”比“1”高,9910的印钞纸里的红、蓝纤维丝是挺直的。(3)9910中水非晶合金丝独霸现象,9910面额白水印1-0中水印钞纸的“非晶合金丝”独有现象,让人哑口无言。(4)9910中水所产生的冠号,9910中水所产生的冠号有Q组与R组:Q组有QD、QE、QE、QG、QH是中水;R组有RE、RF、RG、RH、RI、RJ是中水。但是,这两组的9910中水冠字号,并非全都是中水,其中RI与RJ两个冠号,有的是中水,也有的是宽水,形成同冠号有中水与宽水的混水印情况。而XA、XB、WC、SI等冠号则有中水与大圆水,形成混水印情况。总的9910面额白水印1-0中水冠号只有11个。(5)9910中水存世量,根据9910白水印1-0中水11个冠号推算,中水存世量也就45万多张,不到50万张。这点中水存世量还不够一个大币填饱胃口,但是由于中水发现晚,本来9910横水就存世量少,再加上中水发现晚,经过市场求购分散,才没能让币商囤积居奇。(6)9910中水红一存世量,根据9910中水红一在市场露面的统计,也就2.3万多张,不足3万张。很少见到刀币的出现。有的人*民*币收藏爱好说“拥有一刀中水红是一生的追求”。可想而知,9910中水红一的市场前景不可估量。(7)9910中水拼接红一存世量,9910白水印1-0中水拼接红一,根据一年多的市场观察,露面的也就十几张,一票难求。(8)9910中水双红一存世量, 9910白水印1-0中水双红一,根据一年多的市场观察,就没见有刀币出现,散张也就十几张,少之又少。有钱又能怎样,满足不了你的要求。(9)9910中水三双红一存世量,能够产生中水三双红一的冠号就只有RI。根据对9910中水三红一一年多的市场观察,9910中水三红一在市场露面的也不足十张。根本就是稀中之珍的稀世珍宝(中水冠号中没有双冠,也就没有双冠双红一)。就别提买的了,就是看也很难看到。(10)9910中水拼接三双红一存世量,9910中水拼接三双红一到目前就没见过一张。有三张但偏偏不是9910中水,是宽水R111拼接三双红一。(11)9910中水三红一姊妹花,因为中水冠号RI的印刷错误,把冠号字母“I”印刷错误为数字“1” (05版冠字拼音字母“I”的正确印刷,肯定了99版冠字拼音字母“I”错误印刷)产生三双红一。但是RI中出现了同冠异水,也就是说一个冠号出现了有中水与宽水情况,这种同冠异水称为双胞姊妹花。双胞姊妹花的出世,为人*民*币文化艺术增添了光彩,也把R111双胞姊妹花推到了人*民*币文化艺术巅峰。(12)中水补号9910共发行201个冠号,有4个补号。其中UI补号的02-07号段开头的是中水补号。三、9910独特的变异版人*民*币中水印刷人*民*币的原始模板没有改变,但是对印刷人*民*币进行试验,在印刷人*民*币的原始模板上有某些细节上的改动,或者印刷人*民*币原始模板上有异物, 印刷出了与人*民*币原始模板有差异的人*民*币,这样的人*民*币称为变异版的人*民*币。第五套人*民*币99版就是一套典型的试验品变异版人*民*币。其中9910五种面额白水印的发展变化就是最有力的物证。特别是中水“非晶合金丝、毛挺蛋高”独有的人*民*币文化艺术特点,也就进一步证明了,9910是变异版人*民*币。如 9910面额白水印1-0窄水、宽水、中水、10面额白水印大圆水、小圆水的发展变化。五种不同水印,有谁能说不是9910印刷人*民*币的模板决定的呢?如果不是,那么它们是怎么产生的呢?也只有变异版的人*民*币才能解释99版人*民*币就是一套进行试验的变异版的人*民*币。9910五种面额白水印就是99版人*民*币进行试验的最有力物证。9910五种面额白水印中的中水“毛挺蛋高”与“非晶合金丝”就是9910面额白水印1-0中水别具一格的独有文化内涵。四、9910面额白水印中水文化内涵9910面额白水印五水与五水红一,组成了9910十全十美,创新发展了人*民*币文化艺术品的未来,也给人*民*币文化艺术品市场注入新的活力。9910面额白水印五水中的中水,“毛挺蛋高”的特点,更是人*民*币文化艺术的特点。再有中水的“非晶合金丝”独有现象组成了9910面额白水印1-0中水文化内涵。(1)以中为度的文化内涵“以中为度”是佛学方面的观点,而9910面额白水印1-0五水中的中水,巧妙神奇的站在五水中间,前有窄水与宽水,后有大圆水与小圆水。这就不能不说中水与佛学有缘。以中为度也是中华5000多年的古老文化先行者——老子的思想观点(《史记*老子列传》),也是孔子的思想观点,更是儒家的思想观点。(2)唯物辩证法是关于联系和发展的科学,唯物辩证法认为:(一)联系的普遍性是指事物之间以事物内部各要素之间的相互影响、相互制约的关系。(二)联系的客观性,就是事物的联系是普遍的,又是客观的。联系是事物配制所固有的,不以人的意志为转移。(三)发展的实质是新事物的产生和旧事物的灭亡,即新事物代替旧事物。(四)按客观规律办事。9910面额白水印的五水发展变化与五水中的中水,独有的“毛挺蛋高、非晶合金丝” 文化内涵就是客观存在。(3)《史记*老子列传》老子五千言记载“万物作弗始,生而弗有;为而不恃,功成而弗居”。意思是,万物兴起而不加干涉,生养它们而不据为己有,有所施为而不自恃有恩,事有成而不自居有功。5000年前中国人民就有高度的认识论,而今天的人们就更应该有科学的认识论,认识事物的发展。特别是人*民*币文化艺术品9910面额白水印中水独有的文化内涵 “毛挺蛋高、非晶合金丝” 特点的客观存在。以上这些文化内涵,正好说明了9910面额白水印1-0中水的发展情况,也就自然形成了9910面额白水印1-0中水文化内涵。学习收藏就要尊重客观事实,僵化的教条主义,无科学理论根据的乱搬、乱套现象,从根本上就是违背科学的事实真相。违背科学的事实真相,就是不实事求是,是主观唯心主义。五、中水的主要亮点“天有四方,地有五行” 9910面额白水印1-0中水的主要亮点:中水红一、中水双红一、中水三红一、中水三红一姊妹花与9910面额白水印1-0五水巧妙的应对了“天有四方,地有五行”。9910中水的主要亮点应对天的四方;五水应对地的五行。这就自然而然的,巧妙的适应了自然,应对了自然上有天,下有地的“天有四方,地有五行”。六、收藏9910面额白水印1-0中水的好机会99版人*民*币全都是试验变异版人*民*币,6张票面就有5张是错版,几乎是整体性出错。流通使用不足3年,就开始收缴销毁;在短短1年零1个月的时间内就完成收缴销毁,印刷投放发行05版,废弃99版。这是千载难逢、天赐良机的人*民*币收藏游戏。不要说生不逢时,99版9910面额白水印1-0中水就天赐良机,拱手相让你一定后悔莫及,辜负了99版、9910面额白水印1-0中水。辜负了自己也就罢了,就别再辜负子孙后代。99版人*民*币试验产生多种变异版人*民*币,投放市场流通;科技创新耍小聪;简单的左红右黑视为科学,典型的伪科学的泛滥;FA越是强调要服从中国共产党的领导,反而自由主义泛滥;明明是字母“I”偏偏要印刷成数字“1”。阿Q是把0画不圆,央行是把字母“I”印不全,天大的笑话。99版人*民*币是典型错中错的人*民*币,是错综复杂的人*民*币。99版人*民*币,虽然被央行放弃, 99版人*民*币,虽然被05版代替, 99版人*民*币尽管有诸多的不如意,但是就凭借她具有跨越几千年交子文化的身影,足以为精神饥渴者增添了精神食粮,足以为人类社会精神文明建设增添了动力,足以为世界和平共处与和谐发展探索了真理。9910面额白水印1-0中水,为人*民*币文化艺术增添光彩不可否认;收藏投资中水,只为有眼光的智者准备:(1)中水文化艺术经得住推敲。(2)中水文化艺术内涵深远。(3)中水9910面额白水印1-0存世量少。(4)中水有独具一格的特点。(5)中水有良好的群众基础。(6)中水在人*民*币文化艺术品收藏中不可缺少。(7)中水存世量少,目前价格低,进入人*民*币文化艺术品收藏市场最晚,上涨空间大,投资风险为零。(8)中水文化“以中为度”指示了人们的生活、工作、家庭,国家、人与人、人与社会、国家与国家、国家与世界等方方面面都要把握好“以中为度”。99版人*民*币文化艺术品收藏千载难逢,窄水收藏天赐良机。中水收藏以中为度。中水在逆流而上,在绝望中看到希望,在争议中坚持真理,在争论中放射光芒万丈。中水人*民*币文化艺术为人类社会文明和谐发展指明了方向——以中为度。9910面额白水印1-0水印,中水的发展变化验证了解放思想、实事求是、马列主义、毛泽东思想和邓小平理论的精髓,也充分肯定应验了十六大文化体制改革上那句“一切妨碍文化发展的思想观念都要坚决冲破,一切束缚文化发展的做法和规定都要坚决改变,一切影响文化发展的体制弊端都要坚决革除”。
高级网络营销师
1999年10元双红1就是指字母后面的第一位和第二位数字都是1,如AB,单红1是指字用后面第二位数字是1,如AB,由于1999年10元字母后面第二位数字都是由红黑二种颜色拼接的,唯有1太细,所以只有红色一种颜色,俗称红1,目前1999年10元双红1(1-0)水印市价450元一张,红1(1-0)水印150元每张。
本回答被网友采纳
单红1是指字用后面第二位数字是1,如AB。由于1999年10元字母后面第二位数字都是由红黑二种颜色拼接的,唯有1太细,所以只有红色一种颜色,俗称红1。1999年10元双红1就是指字母后面的第一位和第二位数字都是1,如AB。
指的是9910冠号后面第二位数字是“1”,除了“1”以外,其他数字都是双色拼接的。
其他2条回答
为您推荐:
其他类似问题
第五套人民币的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。FPGA设计的基础知识
FPGA开发全攻略(基础篇)
FPGA开发全攻略(技艺篇)
&&& 是专用(ASIC)中集成度最高的一种,用户可对FPGA内部的逻辑模块和重新配置,以实现用户的逻辑,因而也被用于对的模拟。用户对FPGA的编程数据放在Flash中,通过上电加载到FPGA中,对其进行初始化。同样也可在线对其编程,实现系统在线重构,这一特性可以构建一个根据计算任务不同而实时定制的CPU,这是当今研究的热门领域。
FPGA配置模式
&&& 有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为的外设,由微对其编程.
&&& 例如,领先FPGA厂商最近推出的Virtex-5系列采用65nm工艺,可提供高达33万个逻辑单元、1,200个I/O和大量硬IP块.超大容量和密度使复杂的布线变得更加不可预测,由此带来更严重的时序收敛问题.此外,针对不同应用而集成的更多数量的逻辑功能、、嵌入式处理和模块,也让时钟管理和电压分配问题变得更加困难.
&&& 幸运地是,FPGA厂商、供应商正在通力合作解决65nm FPGA独特的设计挑战.不久以前,Synplicity与Xilinx宣布成立超大容量时序收敛联合工作小组,旨在最大程度帮助地系统设计工程师以更快、更高效的方式应用65nm FPGA器件.设计软件供应商Magma推出的综合工具Blast FPGA能帮助建立优化的布局,加快时序的收敛.
&&& 最近FPGA的配置方式已经多元化!
FPGA工作原理
&&& 采用了逻辑单元阵列LCA(Logic Cell )这样一个新概念,内部包括可配置逻辑模块CLB(Cfigurable Logic Block)、输出IOB(Input
Block)和内部连线(Interconnect)三个部分.FPGA的基本特点主要有:
&&& 1)采用FPGA设计ASIC,用户不需要投片生产,就能得到合用的.
&&& 2)FPGA可做其它全定制或半定制ASIC电路的中试样片.
&&& 3)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一.
&&& 4)FPGA内部有丰富的和I/O引脚.
&&& 5) FPGA采用高速CH工艺,功耗低,可以与CMOS、TTL电平兼容.
&&& 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一.
&&& FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程.用户可以根据不同的配置模式,采用不同的编程方式.
&&& 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态.掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用.FPGA的编程无须专用的FPGA,只须用通用的EPROM、PROM编程器即可.当需要修改FPGA功能时,只需换一片EPROM即可.这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能.因此,FPGA的使用非常灵活.
FPGA开发全攻略—工程师创新设计宝典(基础篇)前言、目录
年,我参加了几次可件供应商举办的技术研讨会,让我留下深刻印象的是参加这些研讨会的工程师人数之多,简直可以用爆满来形容,很多工程师聚精会神地全天听讲,很少出现吃完午饭就闪人的现象,而且工程师们对研讨会上展出的基于可编程器件的通信、消费、医疗电子、工业等也有浓厚的兴趣,这和其他器件研讨会形成了鲜明的对比。
Garnter和iSuppli公布的数据显示:年,全球半导体整体销售出现25年以来首次萎缩现象,但是,可编程器件却还在保持了增长,预计年(PLD)市场销售额增长7.6%,可编程器件的领头羊美国供应商赛灵思公司年营业收入预计升6.5%!在全球经济危机的背景下,这是非常骄人的业绩!也足见可编程器件在应用领域的热度没有受到经济危机的影响!这可能也解释了为什么那么多工程师对可编程器件感兴趣吧。在与工程师的交流中,我发现,很多工程师非常需要普及以为代表的可编程器件的应用开发知识,也有很多工程师苦于进阶无门,缺乏专业、权威性的指导,在Google上搜索后,我发现很少有帮助工程师设计的FPGA电子书,即使有也只是介绍一些概念性的基础知识,缺乏实用性和系统性,于是,我萌生了出版一本指导工程师FPGA应用开发电子书的想法,而且这个电子书要突出实用性,让大家都可以免费下载,并提供许多技巧和资源信息,很高兴美国赛灵思公司对这个想法给予了大力支持,赛灵思公司亚太区市场经理张俊伟小姐和高级产品经理梁晓明先生对电子书提出了宝贵的意见,并提供了大量FPGA设计资源,也介绍了一些FPGA设计高手参与了电子书的编撰,很短的时间内,一个电子书项目团队组建起来,北京邮电大学的研究生田耘先生和赛灵思公司上海办事处的苏同麒先生等人都参与了电子书的编写,他们是有丰富设计经验的高手,在大家的共同努力下,这本凝结着智慧的FPGA电子书终于和大家见面了!我希望这本电子书可以成为对FPGA有兴趣或正在使用FPGA进行开发的工程师的手头设计宝典之一,也希望这个电子书可以对工程师们学习FPGA开发和进阶有实用的帮助!如果可能,未来我们还将出版后续版本!
目&&&& 录前言  2第一章、为什么工程师要掌握FPGA开发知识? 5第二章、FPGA基本知识与发展趋势 72.1& FPGA结构和工作原理&& 72.1.1& 梦想成就伟业&& 72.1.2& FPGA结构&& 82.1.3 软核、硬核以及固核的概念& 152.1.4& 从可编程器件发展看FPGA未来趋势& 15第三章、FPGA主要供应商与产品 173.1.1&& 赛灵思主要产品介绍& 17第四章、FPGA开发基本流程 294.1 典型FPGA开发流程与注意事项& 294.2 基于FPGA的SOC设计方法& 32基于FPGA的典型SOC开发流程为&&&&& 32第五章、FPGA实战开发技巧 335.1& FPGA器件选型常识& 335.1.1器件的供货渠道和的支持&& 335.1.2 器件的硬件资源&&& 335.1.3 电气标准&& 345.1.4 器件的速度等级&&& 355.1.5 器件的温度等级&& 355.1.6 器件的封装&&& 355.1.7 器件的价格&&& 355.2 如何进行FPGA设计早期系统规划&& 365.3.综合和仿真技巧& 375.3.1& 综合X的使用& 375.3.2 基于ISE的仿真& 425.3.3 和FPGA接口相关的设置以及时序分析& 455.3.4& 综合高手揭秘XST的11个技巧& 515.4 大规模设计带来的综合和布线问题& 525.5 FPGA相关设计知识& 54
5.5.1 配置电路& 545.5.2 主串模式——最常用的FPGA配置模式& 565.5.3 SPI串行Flash配置模式& 585.5.4 从串配置模式&& 625.5.5 JTAG配置模式& 635.5.6 System ACE配置方案& 645.6 大规模设计的调试经验& 685.6.1 ChipScope Pro组件应用实例& 685.7& FPGA设计的IP和算法应用& 745.7.1 IP核综述& 745.7.2 FFT IP核应用示例& 755.8 赛灵思 FPGA的专用HDL开发技巧& 795.8.1 赛灵思 FPGA的体系结构特点& 795.8.2 赛灵思 FPGA 专用代码风格& 79ISE与EDK开发技巧之时序篇 835.10& 新一代开发工具ISE Design Suit10.1介绍& 855.10.1 ISE Design Suit10.1综述&& 855.10.2 ISE Design Suit 10.1的创新特性& 855.11& ISE与第三方软件的配合使用技巧& 925.11.1 Synplify Pro软件的使用&&& 925.11.2 ModelSim软件的使用&&& 995.11.3 Synplify Pro、ModelSim和ISE的联合开发流程&&& 1045.11.4& ISE与MATLAB的联合使用&& 1055.12 征服FPGA低功耗设计的三个挑战&&& 1085.13 高手之路——FPGA设计开发中的进阶路线& 111附录一、FPGA开发资源总汇 112附录二、编委信息与后记 113附录三、版权声明 114
FPGA开发全攻略第一章---为什么工程师要掌握FPGA开发知识?
作者:张国斌、田耘
&&& 年年初,某著名嵌入式系统IT公司为了帮助其产品售后工程师和在线技术支持工程师更好的理解其产品,举行了ASIC/基础专场培训.由于后者因为保密制度而只能接触到板级图和LAYOUT,同时因ASIC/FPGA都是典型的SoC应用,通常只是将ASIC/FPGA当作黑盒来理解,其猜测性读图造成公司与外部及公司内部大量的无效沟通.培训结束后,参与者纷纷表示ASIC/FPGA的白盒式剖析极大提高了对产品的理解,有效解决了合作伙伴和客户端理解偏异性问题,参加培训的工程师小L表示:“FPGA同时拥有强大的处理功能和完全的设计自由度,以致于它的行业对手ASIC的设计者在做wafer fabrication之前,也大量使用FPGA来做整个系统的板级仿真,学习FPGA开发知识不但提升了我们的服务质量从个人角度讲也提升了自己的价值。”
&&& 实际上,小L只是中国数十万FPGA开发工程师中一个缩影,目前,随着FPGA从可编程逻辑芯片升级为可编程系统级芯片,其在电路中的角色已经从最初的逻辑胶合延伸到数字信号处理、接口、高密度运算等更广阔的范围,应用领域也从通信延伸到消费、汽车电子、工业控制、医疗电子等更多领域,现在,大批其他领域的工程师也像小L一样加入到FPGA学习应用大军中。未来,随着FPGA把更多的硬核如PowerPC(TM)处理器等集成进来,以及采用新的工艺将存储单元集成,FPGA越来越成为一种融合处理、存储、接口于一体的超级芯片,“FPGA会成为一种板级芯片,未来的电子产品可以通过配置FPGA来实现功能的升级,实际上,某些通信设备厂商已经在尝试这样做了。”赛灵思公司全球资深副总裁汤立人这样指出。可以想象,未来,FPGA开发能力对工程师而言将成为类似C语言的基础能力之一,面对这样的发展趋势,你还能简单地将FPGA当成一种逻辑器件吗?还能对FPGA的发展无动于衷吗?
电子产品设计趋势的变化
&&& 自电子产品诞生之日起,电子产品开发流程和方法就随着的不断演进而变化,从最早的器件到再到,工程师在设计产品时,所采用的和方法都有所不同,但是总的来说贯穿电子设计的统一思路是:使用印刷电路板上的分立、现成元件、或IC创建物理平台实现所需要的功能。例如,在60年代,如果要设计一个收音机,工程师必须通过在PCB板上通过晶体管、、电容、、电线、、等电路搭建出一个物理平台,实现对RF信号的调谐、滤波、放大等,最后实现收音机的功能。集成电路出现以后,一些被集成到一颗芯片上,但是总的设计思路没有变化,还是要在一个PCB板上通过和IC搭建出一个物理平台,实现信号的接收、处理和输出。但是,随着FPGA等可件的诞生,设计思路正发生着微妙的变化——随着更多功能从分立器件移到可编程领域,各种不同的设计流程交汇到了一起。现在,有效的电子设计是将板卡设计、可编程逻辑设计和软件开发融合在一起,未来,随着FPGA融合处理、存储于一体,板卡设计将融合进可编程逻辑设计中,电子产品设计将演变为可编程逻辑设计和嵌入式软件设计,那时,电子设计将更体现一种“软”设计,一种通过开发语言和工具实现的设计,而FPGA将成为这种“软”设计的载体,以 FPGA 形式存在的低成本、大规模可编程器件可以随时随地获得,这使设计者有可能将所有系统核心功能都转移到软设计中,并利用这种设计的优势。
&&& 这些“软”设计优势包括:更容易保护系统功能使其不被仿制或逆向工程,编程到设计中的“软”元素容易更新,使设计过程更具连续性。好的工具所设计的软设计不依赖于事先指定的硬件平台。而且,设计可以在最终硬件平台内继续进行,即使产品已经移交客户也仍然可行。即“软”设计将成为电子设计的发展方向。
&&& 另一点,现今及未来的电子产品都在追求智能化和个性化,智能化只能通过软件来实现,个性化呢,需要工程师简单地修改就可体现不同的特色,另外也需要保护自己的设计不被仿制,要做到这点,也需要可编程器件。
每个工程师都希望自的产品永远与众不同。与众不同就是要让产品与竞争产品不一样,让购买者选择你的产品而不选择竞争对手的产品。但是,怎么样才能在日益全球化的市场中保持与众不同呢?
不要再指望在硬件上能达到目的,因为现在几乎每个人都能获得同样的芯片。当现有物理硬件中实现的任何功能受到市场的欢迎的同时,大量的仿制就出现了。
&&& 所以要将产品的区别建立在编程器件智能上,保护有价值的 IP,并且使竞争对手很难对其进行逆向工程。而且,即使硬件已经制造出来,产品仍可以通过“软”设计进行创新并为产品增值,产品的成功就有了保障。而这些,都离不开可编程器件。可编程器件是实现“软”设计的保障和载体。
电子设计工程师设计方法和设计内容在不断变化
&&& 电子设计工程师的设计方法和内容其实也在一直变化,电子管时代,设计工程师要掌握电子管的性能和设计要点,晶体管时代,设计工程师要熟悉跟中电路的作用和搭建,集成电路诞生以后,设计工程师要熟悉IC管脚的作用和功能,而设计工具从最早的草稿图、软件辅助设计也发展到电子设计自动化工具(软件),以FPGA为代表的可编程器件诞生后,设计工程师不但要设计硬件电路更要熟悉HDL、Verilog等IC设计语言,此外,还要熟悉接口、数字信号处理、算法、EDA设计方法学等等,电子工程师要学习的知识日益增多。
未来的硬件工程师是什么样的?
那么,未来的的硬件设计工程师是什么样的?或者说未来的硬件设计工程是怎样的?而已这样说:以VHDL或者Verilog语言来表达设计意图、以FPGA做为硬件载体、以计算机为设计,以EDA软件为开发环境、以SoC、IP等为综合设计的方法,已经成为硬件设计工程的主要特征。可以预见,FPGA将成为未来的硬件工程师必用的设计元素之一。
另外,FPGA在应用中的其他显著优势是可以减少BOM整合多个分立的数字器件(例如一个很小很便宜的CPLD可以替换好几个74系列芯片)、降低PCB布线难度(MGT/GTP等串行收发器将原本与需要三五十条线并行替换为少量的串行线路)、可定制性(可以自己写代码来支持非标准的接口),可扩展性(可编程易修改方便升级)、加速面市时间(只需关心功能实现,不需要再花时间制成专用IC)等,这样FPGA带给设计的公司的好处已经不是从成本体现了,它可以大幅度提升开发的效率!综上所述,我们就明白为什么工程师要掌握FPGA开发知识了,希望本书有助于大家了解和掌握FPGA开发。
FPGA开发全攻略第二章---FPGA基本知识与发展趋势
是英文Field Programmable Gate Array的缩写,即,它是在PAL、GAL、CPLD等可件的基础上进一步发展的产物。它是作为专用(ASIC)领域中的一种半定制而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。它是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级时,不需额外地改变PCB电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,因此获得了广大硬件工程师的青睐。
2.1& FPGA结构和工作原理
2.1.1& 梦想成就伟业
1984年,在硅谷工作的Bernie Vonderschmitt、Ross Freeman 和 Jim Barnett共同构建了一个设想,他们梦想创立一家不同于一般的公司。他们希望创建一家在整个新领域内开发和推出先进技术的公司。并且,他们还希望以这种方式领导它:在这里工作的人们热爱他们的工作、享受工作的乐趣,并对他们所从事的工作着迷。
年2月18日,Ross Freeman 因他的这项发明——现场可编程门阵列 (FPGA)而荣登 美国发明家名人堂。
Freeman先生的发明是一块全部由“开放式门”组成的计算机芯片,其专利号为 4,870,302。采用这种芯片,工程师可以根据需要进行编程,添加新的功能,满足不断发展的标准或规范要求,并可在设计的最后阶段进行修改。
2.1.2& FPGA结构
对PROM、EPROM、E2PROM熟悉的人都知道这些可编程器件的可编程原理是通过加高压或紫外线导致或MOS管内部的载流子密度发生变化,实现所谓的可编程,但是这些器件或只能实现单次可编程或编程状态难以稳定。FPGA则不同,它采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。
FPGA的可编程实际上是改变了CLB和IOB的触发器状态,这样,可以实现多次重复的编程由于FPGA需要被反复烧写,它实现组合逻辑的基本结构不可能像ASIC那样通过固定的与非门来完成,而只能采用一种易于反复配置的结构。查找表可以很好地满足这一要求,目前主流FPGA都采用了基于SRAM工艺的查找表结构,也有一些军品和宇航级FPGA采用Flash或者熔丝与反熔丝工艺的查找表结构。通过烧写文件改变查找表内容的方法来实现对FPGA的重复配置。
根据数字电路的基本知识可以知道,对于一个n输入的逻辑运算,不管是与或非运算还是异或运算等等,最多只可能存在2n种结果。所以如果事先将相应的结果存放于一个存贮单元,就相当于实现了与非门电路的功能。FPGA的原理也是如此,它通过烧写文件去配置查找表的内容,从而在相同的电路情况下实现了不同的逻辑功能。
&查找表 (Look-Up-Table) 简称为 LUT,LUT 本质上就是一个 RAM。目前 FPGA 中多使用 4 输入的 LUT,所以每一个LUT可以看成一个有4位地址线的 的RAM。 当用户通过原理图或HDL语言描述了一个以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能结果,并把真值表(即结果)事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。
从表中可以看到,LUT具有和逻辑电路相同的功能。实际上,LUT具有更快的执行速度和更大的规模。 由于基于LUT的FPGA具有很高的集成度,其器件密度从数万门到数千万门不等,可以完成极其复杂的时序与逻辑组合逻辑电路功能,所以适用于高速、高密度的高端数字逻辑电路设计领域。其组成部分主要有可编程输入/输出单元、基本可编程逻辑单元、内嵌SRAM、丰富的布线资源、底层嵌入功能单元、内嵌专用单元等,主要设计和生产厂家有赛灵思、Altera、Lattice、Actel、Atmel和QuickLogic等公司,其中最大的是美国赛灵思公司,占有可编程市场50%以上的市场份额,比其他所有竞争对手市场份额的总和还多。&   FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。
如前所述,FPGA是由存放在片内的RAM来设置其工作状态的,因此工作时需要对片内RAM进行编程。用户可根据不同的配置模式,采用不同的编程方式。Xilinx FPGA的常用配置模式有5类:主串模式、从串模式、Select MAP模式、Desktop配置和直接SPI配置。
目前,FPGA市场占有率最高的两大公司赛灵思公司和Altera生产的FPGA都是基于SRAM工艺的,需要在使用时外接一个片外以保存程序。上电时,FPGA将外部存储器中的数据读入片内RAM,完成配置后,进入工作状态;掉电后FPGA恢复为白片,内部逻辑消失。这样FPGA不仅能反复使用,还无需专门的FPGA编程器,只需通用的EPROM、PROM编程器即可。Actel、QuickLogic等公司还提供反熔丝技术的FPGA,具有抗辐射、耐高低温、低功耗和速度快等优点,在军品和航空航天领域中应用较多,但这种FPGA不能重复擦写,开发初期比较麻烦,费用也比较昂贵。Lattice是ISP技术的发明者,在小规模PLD应用上有一定的特色。早期的赛灵思公司产品一般不涉及军品和宇航级市场,但目前已经有多款产品进入该类领域。
FPGA芯片结构目前主流的FPGA仍是基于查找表技术的,已经远远超出了先前版本的基本性能,并且整合了常用功能(如RAM、时钟管理和)的硬核(ASIC型)模块。如图2-3所示(注:图2-3只是一个示意图,实际上每一个系列的FPGA都有其相应的内部结构),FPGA芯片主要由6部分完成,分别为:可编程输入输出单元、基本可编程逻辑单元、完整的时钟管理、嵌入块式RAM、丰富的布线资源、内嵌的底层功能单元和内嵌专用硬件模块。&
每个模块的功能如下:1. 可编程输入输出单元(IOB)
可编程输入/输出单元简称I/O单元,是芯片与外界电路的接口部分,完成不同电气特性下对输入/输出信号的驱动与匹配要求,其示意结构如图2-4所示。FPGA内的I/O按组分类,每组都能够独立地支持不同的I/O标准。通过软件的灵活配置,可适配不同的电气标准与I/O物理特性,可以调整驱动电流的大小,可以改变上、下拉。目前,I/O口的频率也越来越高,一些高端的FPGA通过DDR寄存器技术可以支持高达2Gbps的数据速率。
外部输入信号可以通过IOB模块的存储单元输入到FPGA的内部,也可以直接输入FPGA 内部。当外部输入信号经过IOB模块的存储单元输入到FPGA内部时,其保持时间(Hold Time)的要求可以降低,通常默认为0。 为了便于管理和适应多种电器标准,FPGA的IOB被划分为若干个组(bank),每个bank的接口标准由其接口电压VCCO决定,一个bank只能有一种VCCO,但不同bank的VCCO可以不同。只有相同电气标准的端口才能连接在一起,VCCO电压相同是接口标准的基本条件。
2.可配置逻辑块(CLB)
CLB是FPGA内的基本逻辑单元。CLB的实际数量和特性会依器件的不同而不同,但是每个CLB都包含一个可配置矩阵,此矩阵由4或6个输入、一些选型电路(多路等)和触发器组成。 开关矩阵是高度灵活的,可以对其进行配置以便处理组合逻辑、移位寄存器或RAM。在赛灵思公司公司的FPGA器件中,CLB由多个(一般为4个或2个)相同的Slice和附加逻辑构成,如图2-5所示。每个CLB模块不仅可以用于实现组合逻辑、时序逻辑,还可以配置为分布式RAM和分布式ROM。
Slice是赛灵思公司公司定义的基本逻辑单位,其内部结构如图2-6所示,一个Slice由两个4输入的函数、进位逻辑、算术逻辑、存储逻辑和函数复用器组成。
算术逻辑包括一个异或门(XORG)和一个专用与门(MULTAND),一个异或门可以使一个Slice实现2bit全加操作,专用与门用于提高乘法器的效率;进位逻辑由专用进位信号和函数复用器(MUXC)组成,用于实现快速的算术加减法操作;4输入函数用于实现4输入LUT、分布式RAM或16比特移位寄存器(Virtex-5系列芯片的Slice中的两个输入函数为6输入,可以实现6输入LUT或64比特移位寄存器);进位逻辑包括两条快速进位链,用于提高CLB模块的处理速度。 3.数字时钟管理模块(DCM) 业内大多数FPGA均提供数字时钟管理(赛灵思公司的全部FPGA均具有这种特性)。赛灵思公司推出最先进的FPGA提供数字时钟管理和相位环路锁定。相位环路锁定能够提供精确的时钟综合,且能够降低抖动,并实现过滤功能。 4.嵌入式块RAM(BRAM) 大多数FPGA都具有内嵌的块RAM,这大大拓展了FPGA的应用范围和灵活性。块RAM可被配置为单端口RAM、双端口RAM、内容地址存储器(CAM)以及FIFO等常用存储结构。RAM、FIFO是比较普及的概念,在此就不冗述。CAM存储器在其内部的每个存储单元中都有一个比较逻辑,写入CAM中的数据会和内部的每一个数据进行比较,并返回与端口数据相同的所有数据的地址,因而在路由的地址交换器中有广泛的应用。除了块RAM,还可以将FPGA中的LUT灵活地配置成RAM、ROM和FIFO等结构。在实际应用中,芯片内部块RAM的数量也是选择芯片的一个重要因素。
单片块RAM的容量为18k比特,即位宽为18比特、深度为1024,可以根据需要改变其位宽和深度,但要满足两个原则:首先,修改后的容量(位宽 深度)不能大于18k比特;其次,位宽最大不能超过36比特。当然,可以将多片块RAM级联起来形成更大的RAM,此时只受限于芯片内块RAM的数量,而不再受上面两条原则约束。 5. 丰富的布线资源 布线资源连通FPGA内部的所有单元,而连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。FPGA芯片内部有着丰富的布线资源,根据工艺、长度、宽度和分布位置的不同而划分为4类不同的类别。第一类是全局布线资源,用于芯片内部全局时钟和全局复位 / 置位的布线;第二类是长线资源,用以完成芯片Bank间的高速信号和第二全局时钟信号的布线;第三类是短线资源,用于完成基本逻辑单元之间的逻辑互连和布线;第四类是分布式的布线资源,用于专有时钟、复位等控制信号线。
在实际中设计者不需要直接选择布线资源,布局布线器可自动地根据输入逻辑网表的拓扑结构和约束条件选择布线资源来连通各个模块单元。从本质上讲,布线资源的使用方法和设计的结果有密切、直接的关系。 6. 底层内嵌功能单元 内嵌功能模块主要指DLL(Delay Locked Loop)、(Phase Locked Loop)、DSP等软处理核(Soft Core)。现在越来越丰富的内嵌功能单元,使得单片FPGA成为了系统级的设计工具,使其具备了软硬件联合设计的能力,逐步向SOC平台过渡。 DLL和PLL具有类似的功能,可以完成时钟高精度、低抖动的倍频和分频,以及占空比调整和移相等功能。赛灵思公司生产的芯片上集成了DCM和DLL,Altera公司的芯片集成了PLL,Lattice公司的新型芯片上同时集成了PLL和DLL。PLL 和DLL可以通过IP核生成的工具方便地进行管理和配置。DLL的结构如图2-8所示。
7. 内嵌专用硬核 内嵌专用硬核是相对底层嵌入的软核而言的,指FPGA处理能力强大的硬核(Hard Core),等效于ASIC电路。为了提高FPGA性能,芯片生产商在芯片内部集成了一些专用的硬核。例如:为了提高FPGA的乘法速度,主流的FPGA中都集成了专用乘法器;为了适用通信与接口标准,很多高端的FPGA内部都集成了串并收发器(SERDES),可以达到数十Gbps的收发速度。 赛灵思公司的高端产品不仅集成了 Power PC 系列 CPU,还内嵌了 DSP Core 模块,其相应的系统级设计工具是 EDK 和 Platform Studio,并依此提出了片上系统 (System on Chip) 的概念。通过 PowerPC(TM)、Miroblaze、Picoblaze等平台,能够开发标准的DSP处理器及其相关应用,达到SOC的开发目的。此外,新推出赛灵思的FPGA系列如Virtex-5 LXT还内建了PCI Express和三态以太网MAC硬核(TEMAC),与软核实现方式相比,硬核可以把功耗降低5~10倍,节约将近90%的逻辑资源。Xilinx 三态以太网MAC核是一个可参数化的核,非常适合在网络设备中使用, 例如开关和路由器等。可定制的TEMAC核使系统设计者能够实现宽范围的集成式以太网设计,从低成本10/100以太网到性能更高的1GB端口。TEMAC 核设计符合 IEEE 802.3 规范的要求,并且可以在 1000Mbps、100 Mbps 和 10 Mbps 模式下运行。另外,它还支持半双工和全双工操作。TEMAC 核通过 Xilinx CORE Generator(TM) 工具提供,是 Xilinx 全套以太网解决方案的一部分。
2.1.3 软核、硬核以及固核的概念&IP(Intelligent Property)核是具有知识产权核的集成电路芯核总称,是经过反复验证过的、具有特定功能的宏模块,与芯片制造工艺无关,可以移植到不同的半导体工艺中。到了SOC阶段,IP核设计已成为ASIC电路设计公司和FPGA提供商的重要任务,也是其实力体现。对于FPGA开发软件,其提供的IP核越丰富,用户的设计就越方便,其市场占用率就越高。目前,IP核已经变成系统设计的基本单元,并作为独立设计成果被交换、转让和销售。 从IP核的提供方式上,通常将其分为软核、固核和硬核这3类。从完成IP核所花费的成本来讲,硬核代价最大;从使用灵活性来讲,软核的可复用使用性最高。 1. 软核(Soft IP Core) 软核在设计领域指的是综合之前的寄存器传输级(RTL)模型;具体在FPGA设计中指的是对电路的硬件语言描述,包括逻辑描述、网表和帮助文档等。软核只经过功能仿真,需要经过综合以及布局布线才能使用。其优点是灵活性高、可移植性强,允许用户自配置;缺点是对模块的预测性较低,在后续设计中存在发生错误的可能性,有一定的设计风险。软核是IP核应用最广泛的形式。 2. 固核(Firm IP Core)固核在EDA设计领域指的是带有平面规划信息的网表;具体在FPGA设计中可以看做带有布局规划的软核,通常以RTL代码和对应具体工艺网表的混合形式提供。将RTL描述结合具体标准单元库进行综合优化设计,形成门级网表,再通过布局布线工具即可使用。和软核相比,固核的设计灵活性稍差,但在可靠性上有较大提高。目前,固核也是IP核的主流形式之一。 3. 硬核 (Hard IP Core)硬核在EDA设计领域指经过验证的设计版图;具体在FPGA设计中指布局和工艺固定、经过前端和后端验证的设计,设计人员不能对其修改。不能修改的原因有两个:首先是系统设计对各个模块的时序要求很严格,不允许打乱已有的物理版图;其次是保护知识产权的要求,不允许设计人员对其有任何改动。IP硬核的不许修改特点使其复用有一定的困难,因此只能用于某些特定应用,使用范围较窄。
2.1.4& 从可编程器件发展看FPGA未来趋势
可编程逻辑器件的发展历史可编程逻辑器件的发展可以划分为4个阶段,即从20世纪70年代初到70年代中为第1阶段,20世纪70年代中到80年代中为第2阶段,20世纪80年代到90年代末为第3阶段,20世纪90年代末到目前为第4阶段。第1阶段的可编程器件只有简单的(PROM)、紫外线可擦除(EPROM)和电可擦只读存储器()3种,由于结构的限制,它们只能完成简单的数字逻辑功能。 第2阶段出现了结构上稍微复杂的可编程阵列逻辑(PAL)和通用阵列逻辑(GAL)器件,正式被称为PLD,能够完成各种逻辑运算功能。典型的PLD由“与”、“非”阵列组成,用“与或”表达式来实现任意组合逻辑,所以PLD能以乘积和形式完成大量的逻辑组合。
第3阶段赛灵思和Altera分别推出了与标准门阵列类似的FPGA和类似于PAL结构的扩展性CPLD,提高了逻辑运算的速度,具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点,兼容了PLD和通用门阵列的优点,能够实现超大规模的电路,编程方式也很灵活,成为产品原型设计和中小规模(一般小于10000)产品生产的首选。这一阶段,CPLD、FPGA器件在制造工艺和产品性能都获得长足的发展,达到了0.18 工艺和系数门数百万门的规模。 第4阶段出现了SOPC和SOC技术,是PLD和ASIC技术融合的结果,涵盖了实时化数字信号处理技术、高速数据收发器、复杂计算以及嵌入式系统设计技术的全部内容。赛灵思和Altera也推出了相应SOCFPGA产品,制造工艺达到65nm ,系统门数也超过百万门。并且,这一阶段的逻辑器件内嵌了硬核高速乘法器、Gbits差分串行接口、时钟频率高达 500MHz 的 PowerPC(TM) 、软核 MicroBlaze、Picoblaze、Nios 以及 NiosII,不仅实现了软件需求和硬件设计的完美结合,还实现了高速与灵活性的完美结合,使其已超越了ASIC器件的性能和规模,也超越了传统意义上FPGA的概念,使PLD的应用范围从单片扩展到系统级。未来,赛灵思高层透露,该公司正在研制采用全新工艺的新型FPGA,这种FPGA将集成更大的存储单元和其他功能器件,FPGA正向超级系统芯片的方向发展! 2 月 5 日,赛灵思发布了采用 40nm 和 45nm 的 Spartan - 6 和 Virtex - 6 FPGA系列,并开启了目标设计平台这一新的设计理念,相信FPGA的应用会得到更大的发展!
FPGA开发全攻略第三章---FPGA主要供应商与产品
第三章、主要供应商与产品
1984 年,赛灵思发明了(FPGA),同时它成为全球首家无晶圆半导体公司的鼻祖,赛灵思 通过不断应用尖端技术来长久保持它的行业领袖地位:赛灵思 是首家采用 180nm、150nm、130nm、90nm 和 65nm 工艺技术的企业,目前提供约占世界 90% 的高端 65nm FPGA 产品。据 iSuppli 的统计数据,年它拥有世界 51% 以上的可件市场份额。目前 FPGA 领域主要的供应商是赛灵思、Altera、Actel 和。其中Altera和赛灵思主要生产一般用途FPGA,其主要产品采用RAM工艺。Actel主要提供非易失性FPGA,产品主要基于反熔丝工艺和FLASH工艺。由于赛灵思一直在FPGA开发领域方面拥有领先优势和最大份额,故本文主要介绍赛灵思公司的FPGA产品。
3.1.1&& 赛灵思主要产品介绍
目前赛灵思公司有两大类FPGA产品:Spartan类和Virtex类,前者主要面向低成本的中低端应用,是目前业界成本最低的一类FPGA;后者主要面向高端应用,属于业界的顶级产品。这两个系列的差异仅限于芯片的规模和专用模块上,都采用了先进的0.13 、90 甚至65 制造工艺,具有相同的卓越品质。 1.Spartan类FPGASpartan 系列适用于普通的工业、商业等领域,目前主流的芯片包括:Spartan-2、Spartan-2E、Spartan-3、Spartan-3A、Spartan-3E以及最新的Spartan-6等种类。其中Spartan-2最高可达20万系统门,Spartan-2E最高可达60万系统门,Spartan-3最高可达500万门,Spartan-3A和Spartan-3E不仅系统门数更大,还增强了大量的内嵌专用乘法器和专用块RAM资源,具备实现复杂数字信号处理和片上可编程系统的能力。 (1)Spartan-2系列 Spartan-2在Spartan系列的基础上继承了更多的逻辑资源,达到更高的性能,芯片密度高达20万系统门。由于采用了成熟的FPGA结构,支持流行的接口标准,具有适量的逻辑资源和片内RAM,并提供灵活的时钟处理,可以运行8位的PicoBlaze软核,主要应用于各类低端产品中。其主要特点如下所示: 采用0.18 工艺,密度达到5292逻辑单元; 系统时钟可以达到200MHz; 采用最大门数为20万门,具有延迟数字锁相环; 具有可编程用户I/O; 具有片上块RAM存储资源;
Spartan-2系列产品的主要技术特征如下表所示。
(2)Spartan-2E 系列 Spartan-2E基于Virex-E架构,具有比Spartan-2更多的逻辑门、用户I/O和更高的性能。赛灵思还为其提供了包括控制器、系统接口、、通信以及网络等IP核,并可以运行CPU软核,对DSP有一定的支持。其主要特点如下所示: 采用0.15 工艺,密度达到15552逻辑单元; 最高系统时钟可达200MHz; 最大门数为60万门,最多具有4个延时锁相环; 核电压为1.2V,I/Q电压可为1.2V、3.3V、2.5V,支持19个可选的I/O标准; 最大可达288k的块RAM和221K的分布式RAM; Spartan-2E系列产品的主要技术特征如下表所示。
(3)Spartan-3系列 Spartan-3基于Virtex-II FPGA架构,采用90 技术,8层金属工艺,系统门数超过5百万,内嵌了硬核乘法器和数字时钟管理模块。从结构上看,Spartan-3将逻辑、存储器、数学运算、数字处理器处理器、I/O以及系统管理资源完美地结合在一起,使之有更高层次、更广泛的应用,获得了商业上的成功,占据了较大份额的中低端市场。其主要特性如下: 采用90 工艺,密度高达74880逻辑单元; 最高系统时钟为340MHz; 具有 的专用乘法器; 核电压为1.2V,端口电压为3.3V、2.5V、1.2V,支持24种I/O标准; 高达520k分布式RAM和1872k的块RAM; 具有片上时钟管理模块(DCM); 具有嵌入式Xtrema DSP功能,每秒可执行3300亿次乘加。 Spartan-3系列产品的主要技术特征如下表所示。
(4)Spartan-3A/3ADSP/3AN系列 Spartan-3A 在Spartan-3和Spartan-3E平台的基础上,整合了各种创新特性帮助客户极大地削减了系统总成本。利用独特的器件DNA ID技术,实现业内首款 FPGA 序列号;提供了经济、功能强大的机制来防止发生窜改、克隆和过度设计的现象。并且具有集成式看门狗监控功能的增强型多重启动特性。支持商用 flash 存储器,有助于削减系统总成本。其主要特性为: 采用90 工艺,密度高达74880逻辑单元; 工作时钟范围为5MHz~320MHz; 领先的连接功能平台,具有最广泛的 IO 标准(26 种,包括新的 TMDS 和 PPDS)支持; 利用独特的 Device DNA 序列号实现的业内首个功能强大的防克隆安全特性; 五个器件,具有高达 1.4M 的系统门和 502 个 I/O; 灵活的功耗管理。 Spartan-3A系列产品的主要技术特征如下表所示。
Spartan-3ADSP 平台提供了最具成本效益的 DSP 器件,其架构的核心就是 XtremeDSP DSP48A slice,还提供了性能超过30GMAC/s、存储器带宽高达2196 Mbps的新型 XC3SD3400A和XC3SD1800A器件。新型Spartan-3A DSP 平台是成本敏感型 DSP 算法和需要极高DSP性能的协处理应用的理想之选。其主要特征如下所示。 采用90 工艺,密度高达74880逻辑单元;&& 内嵌的DSP48A可以工作到250MHz; 采用结构化的SelectRAM架构,提供了大量的片上存储单元;&& VCCAUX的电压支持2.5V和3.3V,对于3.3V的应用简化了设计;低功耗效率,Spartan-3A DSP器件具有很高的信号处理能力4.06 GMACs/mW。 Spartan-3ADSP系列产品的主要技术特征如下表所示。
Spartan-3AN 芯片为最高级别系统集成的非易失性安全 FPGA,提供下列 2 个独特的性能:先进 SRAM FPGA的大量特性和高性能以及非易失性FPGA的安全、节省板空间和易于配置的特性。Spartan-3AN平台是对空间要求严苛和/或安全应用及低成本嵌入式控制器的理想选择。Spartan-3AN平台的关键特性包括: 业界首款90nm非易失性FPGA,具有可以实现灵活的、低成本安全性能的Device DNA电子序列号; 业内最大的片上用户Flash,容量高达11Mb; 提供最广泛的I/O标准支持,包括26种单端与差分信号标准 灵活的管理模式,休眠模式下可节省超过40%的功耗& 五个器件,具有高达1.4M的系统门和502个I/O。 Spartan-3AN系列产品的主要技术特征如下表所示
(5)Spartan-3E系列 Spartan-3E是目前Spartan系列最新的产品,具有系统门数从10万到160万的多款芯片,是在Spartan-3成功的基础上进一步改进的产品,提供了比Spartan-3更多的I/O端口和更低的单位成本,是赛灵思公司性价比最高的FPGA芯片。由于更好地利用了90 技术,在单位成本上实现了更多的功能和处理带宽,是赛灵思公司新的低成本产品代表,是ASIC的有效替代品,主要面向消费电子应用,如宽带无线接入、家庭网络接入以及数字电视设备等。其主要特点如下: 采用90 工艺; 大量用户I/O端口,最多可支持376个I/O端口或者156对差分端口; 端口电压为3.3V、2.5V、1.8V、1.5V、1.2V ; 单端端口的传输速率可以达到622 ,支持接口; 最多可达36个 的专用乘法器、648 块RAM、231 分布式RAM; 宽的时钟频率 以及多个专用片上数字时钟管理(DCM)模块。 Spartan-3E系列产品的主要技术特征如下表所示。
(6)Spartan-6系列作为Spartan FPGA系列的第六代产品,Spartan-6 FPGA系列采用可靠的低功耗45nm 9层金属布线双层氧化工艺技术生产。 这一新系列产品实现了低风险、低成本、低功耗以及高性能的完美平衡。 Spartan-6 FPGA系列的高效双寄存器6输入LUT(查找表)逻辑结构利用了可靠成熟的Virtex架构,支持跨平台兼容性以(R)及优化系统性能。 丰富的内建系统级模块包括DSP逻辑片、高速以及PCI Express 接口内核,也源于Virtex系列,能够提供更高程度的系统级集成。& Spartan-6 FPGA系列专门针对成本和功率敏感的市场(如汽车娱乐、平板显示以及视频监控)采用了特殊技术。 新的高性能集成存储器控制器支持DDR、DDR2、DDR3和移动 DDR存储器,硬内核的多端口结构能够提供可预测的时序和高达DDR2/DDR3 800 (400MHz)的性能。 在设计向导的支持下,为Spartan-6 FPGA构建存储控制器的过程变得非常简单和直接。& 先进理技术方面的创新以及可选的1.0v低功耗内核使得Spartan-6 FPGA能够比前一代Spartan系列功耗降低多达65%。 快速灵活的I/O支持超过12Gbps的存储器访问带宽,兼容3.3v电压并且采用了更为绿色的RoHS兼容无铅封装。& Spartan-6系列产品的主要技术特征如下表所示:
2.Virtex系列FPGA Virtex系列是赛灵思的高端产品,也是业界的顶级FPGA产品,赛灵思公司正是凭借Vitex系列产品赢得市场,从而获得FPGA供应商领头羊的地位。可以说赛灵思以其Virtex-5、Virtex-4、Virtex-2 Pro和Virtex-2系列FPGA产品引领现场可编程门阵列行业。主要面向电信基础设施、汽车工业、高端消费电子等应用。目前的主流芯片包括:Vitrex-2、Virtex-2 Pro、Vitex-4和Virtex-5等种类。(1)Vitrex-2系列 Vitrex-2系列具有优秀的平台解决方案,这进一步提升了其性能;且内置IP核硬核技术,可以将硬IP核分配到芯片的任何地方,具有比Vitex系列更多的资源和更高的性能。其主要特征如下所示: 采用0.15/0.12 工艺; 核电压为1.5V,工作时钟可以达到420MHz; 支持20多种I/O接口标准; 内嵌了多个 硬核乘法器,提高了DSP处理能力; 具有完全的系统时钟管理功能,多达12个DCM模块。
Virtex-2系列产品的主要技术特征如下表所示。
(2)Virtex-2Pro系列 Virtex-2 Pro 系列在 Virtex-2 的基础上,增强了嵌入式处理功能,内嵌了 PowerPC(TM)405 内核,还包括了先进的主动互联(Active Interconnect)技术,以解决高性能系统所面临的挑战。此外还增加了高速串行收发器,提供了千兆以太网的解决方案。其主要特征如下所示: 采用0.13 工艺; 核电压为1.5V,工作时钟可以达到420MHz; 支持20多种I/O接口标准; 增加了2个高性能RISC技术、频率高达400MHz的PowerPC(TM)处理器; 增加多个3.125Gbps速率的Rocket串行收发器; 内嵌了多个 硬核乘法器,提高了DSP处理能力; 具有完全的系统时钟管理功能,多达12个DCM模块。 Virtex-2 Pro系列产品的主要技术特征如下表所示。
(3)Vitex-4系列 Virtex-4器件整合了高达200,000个的逻辑单元,高达500 MHz的性能和无可比拟的系统特性。Vitex-4产品基于新的高级硅片组合模块(ASMBL)架构,提供了一个多平台方式(LX、SX、FX),使设计者可以根据需求选用不同的开发平台;逻辑密度高,时钟频率能够达到500MHz;具备DCM模块、PMCD相位匹配时钟分频器、片上差分时钟网络;采用了集成FIFO控制逻辑的500MHz SmartRAM技术,每个I/O都集成了ChipSync源同步技术的1 Gbps I/O和Xtreme DSP逻辑片。其主要特点如下: 采用了90 工艺,集成了高达20万的逻辑单元; 系统时钟500MHz; 采用了集成FIFO控制逻辑的500MHz Smart RAM 技术; 具有DCM模块、PMCD相位匹配时钟分频器和片上差分时钟网络;& 每个I/O都集成了ChipSync源同步技术的1Gbps I/O; 具有超强的信号处理能力,集成了数以百计的XtremeDSP Slice,单片最大的处理速率为 。 Vitex-4 LX平台FPGA的特点是密度高达20万逻辑单元,是全球逻辑密度最高的FPGA系列之一,适合对逻辑门需求高的设计应用。Virtex-4 SX平台提高了DSP、RAM单元与逻辑单元的比例,最多可以提供512个XtremeDSP硬核,可以工作在500MHz,其最大的处理速率为 ,并可以以其创建40多种不同功能,并能多个组合实现更大规模的DSP模块。与Vitex-2 Pro系列相比,还大大降低了成本和功耗,具有极低的DSP成本。SX平台的FPGA非常适合应用于高速、实时的数字信号处理领域。 Virtex-4 FX 平台内嵌了 1~2 个 32 位 RISC PowerPC(TM) 处理器,提供了 4 个 1300 Dhrystone MIPS、10/100/1000自适应的以太网MAC内核,协处理器控制器单元(APU)允许处理器在FPGA中构造专用指令,使FX器件的性能达到固定指令方式的20倍;此外,还包含24个Rocket I/O串行高速收发器,支持常用的0.6Gbps、1.25 Gbps、2.5 Gbps、3.125 Gbps、4 Gbps、6.25 Gbps、10 Gbps等高速传输速率。FX平台适用于复杂计算和嵌入式处理应用。 Virtex-4系列产品的主要技术特征如下表所示。
(5)Virtex-5系列 Virtex(R)-5 FPGA 是世界上首款 65nm FPGA 系列,采用 1.0v、三栅极氧化层工艺技术制造而成,并且根据所选器件可以提供 330,000 个逻辑单元、1,200 个 I/O 引脚、48 个低功耗收发器以及内置式PowerPC(TM) (R)440、PCIe& 端点和以太网 MAC 模块。已经提供了5种系列平台,分别是LX、LXT 、SXT、FXT、TXT,每种平台都在高性能逻辑、串行连接功能、信号处理和嵌入式处理性能方面实现了最佳平衡。例如LX针对高性能逻辑进行了优化,LXT针对具有低功耗串行连接功能的高性能逻辑进行了优化,SXT针对具有低功耗串行连接功能的DSP 和存储器密集型应用进行了优化。Virtex-5 FXT 则用于实现具有速率最高的串行连接功能的嵌入式处理,Virtex-5 TXT可用于实现超高带宽应用,如有线通信与数据通信系统内的桥接、和集聚。现有的Virtex-5系列产品的主要技术特征如下表所示。
其主要特点如下:& 采用了最新的65 工艺,结合低功耗 IP 块将动态功耗降低了35%;此外,还利用65nm三栅极氧化层技术保持低静态功耗; 利用65nm ExpressFabric技术,实现了真正的6输入LUT,并将性能提高了2个速度级别。 内置有用于构建更大型阵列的 FIFO 逻辑和 ECC 的增强型36 Kbit Block RAM带有低功耗,可以关闭未使用的存储器。 逻辑单元多达330,000个,可以实现无与伦比的高性能; I/O引脚多达1,200个,可以实现高带宽存储器/,1.25 Gbps LVDS; 低功耗收发器多达24个,可以实现100 Mbps - 3.75 Gbps高速串行接口; 核电压为1V,550 MHz系统时钟; 550 MHz DSP48E slice内置有25 x 18 MAC,提供352 GMACS的性能,能够在将资源使用率降低50%的情况下,实现单精度浮点运算; 利用内置式PCIe端点和以太网MAC模块提高面积效率 ; 更加灵活的时钟管理管道(Clock Management Tile)结合了用于进行精确时钟相位控制与抖动滤除的新型和用于各种时钟综合的数字时钟管理器(DCM); 采用了第二代sparse chevron封装,改善了信号完整性,并降低了系统成本; 增强了器件配置,支持商用flash存储器,从而降低了成本。 注:一个Virtex-5 Slice具有4个LUT和4个触发器,而一个前文所提及的常规Slice只包含2个LUT个2个触发器。每个DSP48E包含一个25*18位的硬核乘法器、一个加法器和一个累加器。
(6)Virtex-6 FPGA系列基于采用第三代Xilinx ASMBL(TM) 架构的40nm制造工艺,Virtex-6 FPGA系列还拥有新一代和早已针对Virtex-5 FPGA而开发的广泛IP库支持。这些都为多产的开发和设计移植提供了强大的支持。 与竞争厂商提供的40nm FPGA产品相比,新的Virtex-6 FPGA系列器件性能提高15%,功耗降低15%。新器件在1.0v内核电压上操作,同时还有可选的0.9v低功耗版本。 这些使得系统设计师可在设计中采用Virtex-6 FPGA,从而支持建设“绿色”中心办公室和数据中心。对于电信行业这一点特别重要,因为该行业正在扩展对因特网视频和富媒体内容的支持。Virtex- 6 FPGA系列包括三个面向应用领域而优化的FPGA平台,分别提供了不同的特性和功能组合来更好地满足不同客户应用的需求:Virtex-6 LXT FPGA—优化目标应用需要高性能逻辑、DSP以及基于低功耗GTX 6.5Gbps串行收发器的串行连接能力。Virtex-6 SXT FPGA—优化目标应用需要超高性能DSP以及基于低功耗GTX 6.5Gbps串行收发器的串行连接能力。Virtex-6 HXT FPGA—作为优化的通信应用需要最高的串行连接能力,多达64个GTH串行收发器可提供高达11.2Gbps带宽 。Virtex-6 FPGA 把先进的硬件芯片技术、创新的电路设计技术以及架构上的增强完美结合在一起,与前
一代Virtex器件以及竞争FPGA产品相比,功耗大大降低,性能更高并且成本更低。表3-15显示了Virtex-6 FPGA系列主要技术特征。
(7)Xilinx PROM芯片介绍 赛灵思公司的Platform Flash PROM能为所有型号的Xilinx FPGA提供非易失性存储。全系列PROM的容量范围为1Mbit到32Mbit,兼容任何一款Xilinx FPGA芯片,具备完整的工业温度特性(-40°C 到 +85°C),支持IEEE1149.1所定义的JTAG边界扫描协议。&&& PROM芯片可以分成3.3V核电压的 系列和1.8V核电压的 系列两大类,前者主要面向底端引用,串行传输数据,且容量较小,不具备数据压缩的功能;后者主要面向高端的FPGA芯片,支持并行配置、设计修订(Designing Revisioning)和数据压缩(Compression)等高级功能,以容量大、速度快著称,其详细参数如下表所示。
(7)Xilinx PROM芯片介绍 赛灵思公司的Platform Flash PROM能为所有型号的Xilinx FPGA提供非易失性存储。全系列PROM的容量范围为1Mbit到32Mbit,兼容任何一款Xilinx FPGA芯片,具备完整的工业温度特性(-40°C 到 +85°C),支持IEEE1149.1所定义的JTAG边界扫描协议。&&& PROM芯片可以分成3.3V核电压的 系列和1.8V核电压的 系列两大类,前者主要面向底端引用,串行传输数据,且容量较小,不具备数据压缩的功能;后者主要面向高端的FPGA芯片,支持并行配置、设计修订(Designing Revisioning)和数据压缩(Compression)等高级功能,以容量大、速度快著称,其详细参数如下表所示。
该系列包含XCF01S、XCF02S和XCF04S(容量分别为:1Mb、2Mb和4Mb),其共同特征有3.3V核电压,串行配置接口以及SOIC封装的VO20封装。 内部控制信号、数据信号、时钟信号和JTAG信号的整体结构如图3-2所示。
系列有XCP08P、XCF16P和XCF32P(容量分别为:8Mb、16Mb和32Mb),其共同特征有1.8V核电压、串行或并行配置接口、设计修订、内嵌的数据压缩器、FS48封装或VQ48封装和内嵌。 内部控制信号、数据信号、时钟信号和JTAG信号的整体结构如图3-3所示,其先进的结构和更高的集成度在使用中带来了极大的灵活性。
值得一提的是系列设计修正和数据压缩这两个功能。设计修订功能在FPGA加电启动时改变其配置数据,根据所需来改变FPGA的功能,允许用户在单个PROM中将多种配置存储为不同的修订版本,从而简化FPGA配置更改,在FPGA内部加入少量的逻辑,用户就能在PROM中存储多达4个不同修订版本之间的动态切换。数据压缩功能可以节省PROM的空间,最高可节约50%的存储空间,从而降低成本,是一项非常实用的技术。当然如果编程时在软件端采用了压缩模式,则需要一定的硬件配置来完成相应的解压缩。&
FPGA开发全攻略第四章---FPGA开发基本流程
第四章、开发基本流程
FPGA 是可编程,因此 FPGA 的设计方法包括硬件设计和软件设计两部分。硬件包括 FPGA 芯片、 、输入输出电路以及其他设备,软件即是相应的 HDL 程序以及最新才流行的嵌入式 C 程序。 &目前微技术已经发展到 SOC 阶段,即集成系统 (Integrated System) 阶段,相对于 (IC) 的设 计思想有着革命性的变化。SOC 是一个复杂的系统,它将一个完整产品的功能集成在一个芯片上,包括核心处 理器、存储单元、硬件加速单元以及众多的外部设备接口等,具有设计周期长、实现成本高等特点,因此其设 计方法必然是自顶向下的从系统级到功能模块的软、硬件协同设计,达到软、硬件的无缝结合。 &这么庞大的工作量显然超出了单个工程师的能力,因此需要按照层次化、结构化的设计方法来实施。首先 由总设计师将整个软件开发任务划分为若干个可操作的模块,并对其接口和资源进行评估,编制出相应的行为 或结构模型,再将其分配给下一层的设计师。这就允许多个设计者同时设计一个硬件系统中的不同模块,并为 自己所设计的模块负责 ;然后由上层设计师对下层模块进行功能验证。 自顶向下的设计流程从系统级设计开始,划分为若干个二级单元,然后再把各个二级单元划分为下一层次 的基本单元,一直下去,直到能够使用基本模块或者 IP 核直接实现为止,流行的 FPGA 都提供了层次 化管理,可以有效地梳理错综复杂的层次,能够方便地查看某一层次模块的源代码以修改错误。 在工程实践中,还存在软件编译时长的问题。由于大型设计包含多个复杂的功能模块,其时序收敛与仿真 验证复杂度很高,为了满足时序指标的要求,往往需要反复修改源文件,再对所修改的新版本进行重新编译, 直到满足要求为止。这里面存在两个问题 :首先,软件编译一次需要长达数小时甚至数周的时间,这是开发所不能容忍的 ;其次,重新编译和布局布线后结果差异很大,会将已满足时序的电路破坏。因此必须提出一种有效提高设计性能,继承已有结果、便于团队化设计的软件。FPGA 厂商意识到这类需求,由此开发出了相应的逻辑锁定和增量设计的软件工具。例如,赛灵思公司的就是 PlanAhead。 Planahead 允许高层设计者为不同的模块划分相应 FPGA 芯片区域,并允许底层设计者在所给定的区域内 独立地进行设计、实现和优化,等各个模块都正确后,再进行设计整合。如果在设计整合中出现错误,单独修 改即可,不会影响到其它模块。Planahead 将结构化设计方法、团队化合作设计方法以及重用继承设计方法三 者完美地结合在一起,有效地提高了设计效率,缩短了设计周期。 不过从其描述可以看出,新型的设计方法对系统顶层设计师有很高的要求。在设计初期,他们不仅要评估每个子模块所消耗的资源,还需要给出相应的时序关系;在设计后期,需要根据底层模块的实现情况完成相应的修订。
4.1 典型 FPGA 开发流程与注意事项
FPGA 的设计流程就是利用
开发软件和编程工具对 FPGA 芯片进行开发的过程。典型 FPGA 的开发 流程一般如图 4.1.1 所示,包括功能定义 / 器件选型、设计输入、功能仿真、综合优化、综合后仿真、实现、布 线后仿真、板级仿真以及芯片编程与调试等主要步骤。
1、功能定义/器件选型 在 FPGA 设计项目开始之前,必须有系统功能的定义和模块的划分,另外就是要根据任务要求,如系统的 功能和复杂度,对工作速度和器件本身的资源、成本、以及连线的可布性等方面进行权衡,选择合适的设计方 案和合适的器件类型。&& 一般都采用自顶向下的设计方法,把系统分成若干个基本单元,然后再把每个基本单元 划分为下一层次的基本单元,一直这样做下去,直到可以直接使用 EDA 元件库为止。
2、 设计输入 设计输入是将所设计的系统或电路以开发软件要求的某种形式表示出来,并输入给 EDA 工具的过程。常用的方法有硬件描述语言 (HDL) 和原理图输入方法等。原理图输入方式是一种最直接的描述方式,在可编程芯片发展的早期应用比较广泛,它将所需的器件从元件库中调出来,画出原理图。这种方法虽然直观并易于仿真,但效率很低,且不易维护,不利于模块构造和重用。更主要的缺点是可移植性差,当芯片升级后,所有的原理图都需要作一定的改动。目前,在实际开发中应用最广的就是 HDL 语言输入法,利用文本描述设计,可以分为普通 HDL 和行为 HDL。普通 HDL 有 ABEL、CUR 等,支持逻辑方程、真值表和状态机等表达方式,主要用于简单的小型设计。而在中大型工程中,主要使用行为 HDL,其主流语言是 Verilog HDL 和 VHDL。这两种语言 都是美国电气与电子工程师协会 (IEEE) 的标准,其共同的突出特点有 :语言与芯片工艺无关,利于自顶向下设计,便于模块的划分与移植,可移植性好,具有很强的逻辑描述和仿真功能,而且输入效率很高。 除了这 IEEE标准语言外,还有厂商自己的语言。也可以用 HDL 为主,原理图为辅的混合设计方式,以发挥两者的各自特色。
3、 功能仿真 功能仿真也称为前仿真是在编译之前对用户所设计的电路进行逻辑功能验证,此时的仿真没有延迟信息, 仅对初步的功能进行检测。仿真前,要先利用波形编辑器和 HDL 等建立波形文件和测试向量 ( 即将所关心的输 入信号组合成序列 ),仿真结果将会生成报告文件和输出信号波形,从中便可以观察各个节点信号的变化。如果 发现错误,则返回设计修改逻辑设计。常用的工具有 Model Tech 公司的 ModelSim、Sysnopsys 公司的 VCS 和 Cadence 公司的 NC-Verilog 以及 NC-VHDL 等软件。
4、 综合优化 所谓综合就是将较高级抽象层次的描述转化成较低层次的描述。综合优化根据目标与要求优化所生成的逻 辑连接,使层次设计平面化,供 FPGA 布局布线软件进行实现。就目前的层次来看,综合优化 (Synthesis) 是指 将设计输入编译成由与门、或门、非门、RAM、等基本逻辑单元组成的逻辑连接网表,而并非真实的门 级电路。真实具体的门级电路需要利用 FPGA 制造商的布局布线功能,根据综合后生成的标准门级结构网表来 产生。为了能转换成标准的门级结构网表,HDL 程序的编写必须符合特定综合器所要求的风格。由于门级结构、 RTL 级的 HDL 程序的综合是很成熟的技术,所有的综合器都可以支持到这一级别的综合。常用的综合工具有 Synplicity 公司的 Synplify/Synplify Pro 软件以及各个 FPGA 厂家自己推出的综合开发工具。
5、 综合后仿真 综合后仿真检查综合结果是否和原设计一致。在仿真时,把综合生成的标准延时文件反标注到综合仿真模型中去,可估计门延时带来的影响。但这一步骤不能估计线延时,因此和布线后的实际情况还有一定的差距,并不十分准确。目前的综合工具较为成熟,对于一般的设计可以省略这一步,但如果在布局布线后发现电路结构和设计意图不符,则需要回溯到综合后仿真来确认问题之所在。在功能仿真中介绍的软件工具一般都支持综合后仿真。
图4-1&& FPGA典型设计流程
6、 实现与布局布线 布局布线可理解为利用实现工具把逻辑映射到目标器件结构的资源中,决定逻辑的最佳布局,选择逻辑与输入输出功能链接的布线通道进行连线,并产生相应文件 ( 如配置文件与相关报告 ),实现是将综合生成的逻辑网表配置到具体的 FPGA 芯片上,布局布线是其中最重要的过程。布局将逻辑网表中的硬件原语和底层单元合理地配置到芯片内部的固有硬件结构上,并且往往需要在速度最优和面积最优之间作出选择。布线根据布局的拓扑结构,利用芯片内部的各种连线资源,合理正确地连接各个元件。目前,FPGA 的结构非常复杂,特别是在有时序约束条件时,需要利用时序驱动的引擎进行布局布线。布线结束后,软件工具会自动生成报告,提供有关设计中各部分资源的使用情况。由于只有 FPGA 芯片生产商对芯片结构最为了解,所以布局布线必须选择芯片开发商提供的工具。
7、 时序仿真 时序仿真,也称为后仿真,是指将布局布线的延时信息反标注到设计网表中来检测有无时序违规 ( 即不满足时序约束条件或器件固有的时序规则,如建立时间、保持时间等 ) 现象。时序仿真包含的延迟信息最全,也最精确,能较好地反映芯片的实际工作情况。由于不同芯片的内部延时不一样,不同的布局布线方案也给延时带来不同的影响。因此在布局布线后,通过对系统和各个模块进行时序仿真,分析其时序关系,估计系统性能,以及检查和消除竞争冒险是非常有必要的。在功能仿真中介绍的软件工具一般都支持综合后仿真。
8、 板级仿真与验证 板级仿真主要应用于高速电路设计中,对高速系统的信号完整性、电磁干扰等特征进行分析,一般都以第 三方工具进行仿真和验证。
9、 芯片编程与调试 设计的最后一步就是芯片编程与调试。芯片编程是指产生使用的数据文件 ( 位数据流文件,Bitstream Generation),然后将编程数据下载到 FPGA 芯片中。其中,芯片编程需要满足一定的条件,如编程电压、编程 时序和编程算法等方面。逻辑 (Logic Analyzer,LA) 是 FPGA 设计的主要调试工具,但需要引出大量的 测试管脚,且 LA 价格昂贵。目前,主流的 FPGA 芯片生产商都提供了内嵌的在线 ( 如 Xilinx ISE 中 的 ChipScope、 QuartusII 中的 SignalTapII 以及 SignalProb) 来解决上述矛盾,它们只需要占用芯片少量 的逻辑资源,具有很高的实用价值。
4.2 基于 FPGA 的 SOC 设计方法
目前,由于 FPGA 性能提升价格下降,同时嵌入越来越多内核,很自然地,很多 IC 设计公司将 FPGA 用 于 ASIC 原型验证,把 FPGA 可编程的优点带到了 SOC 领域,其系统由嵌入式内核、 单元、大容量 处理器、吉比特、混合逻辑、IP 以及原有的设计部分组成。 SOC 平台的核心部分是内嵌的处理内核,其硬件是固定的,软件则是可编程的 ;外围电路则由 FPGA 的逻 辑资源组成,大都以 IP 的形式提供,例如存储器接口、USB 接口以及以太网 MAC 层接口等,用户根据自己需 要在内核上添加,并能自己订制相应的接口 IP 和外围设备。
基于FPGA的典型SOC开发流程为: 1、芯片内的考虑 从设计生成开始,设计人员需要从硬件 / 软件协同验证的思路入手,以找出只能在系统集成阶段才会被发 现的软、硬件缺陷。然后选择合适的芯片以及开发工具,在综合过程得到优化,随后进行精确的实现,以满足 实际需求。由于设计规模越来越大,工作频率也到了数百兆赫兹,布局布线的延迟将变得非常重要。为了确保 满足时序,需要在布局布线后进行静态时序分析,对设计进行验证。
2、板级验证 在芯片设计完毕后,需要再进行板级验证,以便在 (PCB) 上保证与最初设计功能一致。因此, PCB 布局以及信号完整性测试应被纳入设计流程。由于芯片内设计所做的任何改变都将反映在下游的设计流程 中,各个过程之间的数据接口和管理也必须是无误的。预计 SOC 系统以及所必须的额外过程将使数据的大小成 指数增长,因此,管理各种数据集本身是急剧挑战性的任务。
FPGA开发全攻略第五章---5.1
FPGA 器件选型常识
第五章、实战开发技巧
5.1&& FPGA 器件选型常识
作者: 童 鹏、胡以华/中科院上海技术物理研究所 FPGA 器件的选型非常重要,不合理的选型会导致一系列的后续设计问题,有时甚至会使设计失败 ;合理 的选型不光可以避免设计问题,而且可以提高系统的性价比,延长产品的生命周期,获得预想不到的经济效果。 &FPGA器件选型有以下 7 个原则:器件的供货渠道和的支持、器件的硬件资源、器件的电气标准、器件的速度等级、器件的温度等级、器件的封装和器件的价格。
5.1.1器件的供货渠道和开发的支持
目前,主要的 FPGA 供应商有赛灵思公司、 公司、Lattic 公司和
公司等,FPGA 的发展速度非常快,很多型号的 FPGA 器件已不是主流产品,为了提高产品的生命周期,最好在货源比较足的主流器件中选型。Xllinx 公司的主流器件有 Spartan-3E、Spartan-3A、Virtex-4LX、Virtex-4 SX、Virtex-4 FX、Virtex-5 LX、Virtex-5SX、Virtex-5 FX、Spartan-6 和 Virtex - 6 等系列,其中 Spartan-3E 和 Spartan-3A 系列主要应用于逻辑设计和简单数字信号处理,Virtex-4 LX 和 Virtex-5 LX 系列主要应用于高速逻辑设计,Virtex-4 SX 和Virtex-5 SX 系列主要应用于高速复杂数字信号处理,Virtex-4 FX 和 Virtex-5 FX 系列主要应用于嵌入式系统。 赛灵思公司有集成开发环境 ISE,Altera 公司有集成开发环境 Quartus Ⅱ,两个集成开发环境支持本公司所有器件的设计和开发。该集成开发环境不仅功能强大、界面友好,而且有很多第三方合作伙伴提供相应的技术支持,能使器件获得更高的性能。因此,如果没有特殊应用要求,建议最好在这两家公司进行器件选型。
5.1.2 器件的硬件资源
硬件资源是器件选型的重要标准。硬件资源包括逻辑资源、I / O 资源、布线资源、 资源、资源、 锁相环资源、串行资源和硬核资源等。 逻辑资源和 I / O 资源的需求是每位设计人员最关心的问题,一般都会考虑到,可是,过度消耗 I / O 资 源和布线资源可能产生的问题却很容易被忽视。主流 FPGA 器件中,逻辑资源都比较丰富,一般可以满足应用 需求。可是,在比较复杂的数字系统中,过度 I / O 资源的消耗可能会导致 2 个问题 :FPGA 负荷过重,器件 发热严重,严重影响器件的速度性能、工作稳定性和寿命,设计中要考虑器件的散热问题 ;局部布线资源不足, 的运行速度明显降低,有时甚至使设计不能件,设计失败。根据本人的应用经验 : (1) 在做复杂数字信号处理时,位数比较高的乘法器和除法器对全局布线资源的消耗量比较大 ; (2) 在做逻辑设计时,双向 I / O 口对局部布线资源的消耗量比较大 ; (3) 在利用存储器资源设计的应用场合,局部布线资源的消耗量比较大 ; (4) 在电气接口标准比较多,而逻辑比较复杂的应用场合,局部布线资源的消耗量比较大。 在做乘法运算比较多而且对速度性能要求比较高的应用场合,最好能选用带 DSP 资源比较多的器件,例如, Altera 公司的 Statix Ⅱ和 Statix Ⅲ系列,赛灵思公司的 Virtex-4 SX 和 Virtex-5 SX 系列等。 &器件中的存储器资源主要有 2 种用途 :作高性能滤波器 ;实现小容量高速数据缓存。这是一种比较宝贵的硬件资源,一般器件中的存储器资源都不太多,存储器资源较多的器件逻辑容量也非常大,用得也比较少,供 货渠道也不多,器件价格也非常高。因此,在器件选型时,最好不要片面追求设计的集成度而选用这种器件, 可以考虑选用低端器件 + 外扩存储器的设计方案。 目前,主流 FPGA 中都集成了锁相环,利用锁相环对时钟进行相位锁定,可以使电路获得更稳定的性能。 赛灵思公司提供的是数字锁相环,其优点是能获得更精确的相位控制,其缺点是下限工作频率较高,一般在 24MHz 以上 ;Altera 公司提供的是模拟锁相环,其优点是下限工作频率较低,一般在 16 MHz 以上,其主流器件Statix Ⅱ和 Statix Ⅲ系列中的增强型锁相环工作频率只要求在 4 MHz 以上,其缺点是对时钟相位的控制精度相对较差。 在 通 讯 领 域 里, 用 光 纤 传 输 高 速 数 据 是 一 个 比 较 常 用 的 解 决 方 案。A1tera 公 司 的 Statix Ⅱ GX 和 Statix Ⅲ GX 系列,赛灵思公司的 Virtex-4 FX 和 Virtex-5 FX 系列都集成了高速串行收发器 ( 注意 :赛灵思 V5 带 T 的产品都有高速串行收发器,V4、V2P 某些型号也有高速串行收发器 ),这种器件价格一般都比较高。目前, Natial 和 Maxim 等公司提供的高性能专用串行收发价格都不高,因此,如果只是进行数据传输没计, 大可不必选用这种器件 ;如果是光纤数据传输 + 逻辑或算法比较复杂的应用场合,最好是将两种方案进行比较, 然后考虑是否选用该器件。 利用集成硬核微的 FPGA 器件进行嵌入式开发,代表嵌入式应用的一个方向。赛灵思公司提供集成 PowerPC? 的 Virtex-4 FX 和 virtex-5 FX 系列器件。随着器件价格不断下降,在很多应用场合,在不增加成本 的情况下,选用该器件和传统 FPGA+ 的应用方案相比,能大幅度提高系统性能和降低硬件设计复杂程度。 此时,选用该器件是比较理想的。
5.1.3 电气接口标准
目前,数字电路的电气接口标准非常多。在复杂数字系统中,经常会出现多种电气接口标准。目前,主流 FPGA 器件支持的电气接口标准有 :1.5 V,1.5-V 等,可以满足绝大部分应用设计需求。
赛灵思公司的 FPGA 几乎所有的管脚都支持 SSTL-2 Class Ⅱ电气接口标准,此时选用赛灵思公司的 FPGA 是比较理想的。
5.1.4 器件的速度等级
关于器件速度等级的选型,一个基本的原则是 :在满足应用需求的情况下,尽量选用速度等级低的器件。 该选型原则有如下好处 : (1) 由于传输线效应,速度等级高的器件更容易产生信号反射,设计要在信号的完整性上花更多的精力 ; (2) 速度等级高的器件一般用得比较少,价格经常是成倍增加,而且高速器件的供货渠道一般比较少,器件 的订货周期一般都比较长,经常会延误产品的研发周期,降低产品的上市率。
5.1.5 器件的温度等级
某些应用场合,对器件的环境温度适应能力提出了很高的要求,此时,就应该在有工业级甚至是军品级 或宇航级的器件中进行选型。据调研,Altera 公司每种型号的 FPGA 都有工业级产品 ;Xllinx 公司每种型号的 FPGA 都有工业级产品,部分型号的 FPGA 提供军品级和宇航级产品。
5.1.6 器件的封装
目前,主流器件的封装形式有 :QFP, 和 FB-GA,BGA 和 FBGA 封装器件的管脚密度非常高,设计 中必须使用多层板,PCB 布线相当复杂,设计成本比较高,器件焊接成本比较高,因此,设计中能不用尽量不用。 不过,在密度非常高,集成度非常高和对 PCB 板体积要求比较高的应用场合,尽量选用 BGA 和 FBGA 封装器件。 还有一种情况,在电路速度非常高的应用场合,最好选用 BGA 和 FBGA 封装器件,这 2 种封装器件由于器件 管脚引线和分布电容比较小,有利于高速电路的设计。
5.1.7 器件的价格
器件集成度不断提高,性能不断上升,而价位不断下降是 FPGA 器件发展的普遍趋势,因此,在不断推出 的新型器件中选型是一个基本规律。以赛灵思公司刚推出的 Virtex-5 为例,性能比 Virtex-4 提高 30%,而相对 价位却降低 35%。
FPGA开发全攻略第五章---5.2 如何进行 FPGA 设计早期系统规划
5.2 如何进行
设计早期系统规划
作者:Ricky Su (www.rickysu.com) 这篇文章讲述了如何用提高效率的方法,适用程度因人而异。 Situati: 在对 FPGA 设计进行最初步的系统规划的时候,需要进行模块划分,模块定义等工作。通常, 我们起初会在纸上进行设计,到了一定阶段的定稿可能会输入 Visio 等工具,方便在 Team 内部交流和审阅。虽 然在纸上我们可以很随意地书写,而用纸画的不方便就在于,如果想对某一个模块进行一些改动或者重画模块, 那么常常因为留出的空余纸张不够,而导致拿一张新的白纸重新画一遍,比较浪费时间。对于化的 Visio 来 说,方便修改是好处,但他不是专为设计 FPGA 系统而设计的,添加输入输出端口没那么方便,也不会根据定 义的模块自动生成 HDL 文件。 Queion: 我们能不能使用更好软件进行系统规划呢?

我要回帖

更多关于 张冠李戴的意思 的文章

 

随机推荐