T1CC0H 生理周期计算器是怎么计算的

PWM的周期和占空比是如何计算的?计算公式是什么? - 单片机/MCU论坛 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
PWM的周期和占空比是如何计算的?计算公式是什么?
17:56:19  
16178&查看
比如说:单片机是STC12C5A60S2晶振是11.0592Mhz,我想让PWM的周期是500us,占空比为20%,这个占空比的值和周期值我该怎么计算?麻烦把公式写一下。
以下内容来源于《51单片机轻松入门-基于STC15W4K系列》
4、脉宽调节模式
& &PWM意为脉冲宽度调制,可用于调整输出直流平均电压,对于矩形波而言,输出平均压等于峰值电压×占空比,占空比是一个脉冲周期内高电平时间与周期的比值,例如,峰值电压等于5V,占空比等于50%的方波信号平均电压等于2.5V,也就是万用表直流档测量得到的电压值,8位PWM模式结构如图8-6所示, PWM输出不需要使用中断。
未命名13.JPG (30.5 KB, 下载次数: 1)
22:18 上传
通过程序设定寄存器PCA_PWMn(n=0,1,2,下同)中的位EBSn_1及EBSn_0,使其工作于8位PWM或7位PWM或6位PWM模式,当[EBSn_1,EBSn_0]=[0,0](默认值)或[1,1]时,PCA模块n工作于8位PWM模式,此时将{0,CL[7:0]}与[EPCnL,CCAPnL[7:0]]进行比较,当{0,CL[7:0]}中的值小于{EPCnL,CCAPnL[7:0]}时,输出为低,当{0,CL[7:0]}中的值等于或大于{EPCnL,CCAPnL[7:0]}时,输出为高,当EPCnL=0且CCAPnL=00H时,PWM固定输出高,当EPCnL=1且CCAPnL=FFH 时,PWM固定输出低。当CL的值由FF变为00溢出时,{EPCnH,CCAPnH[7:0]}的内容自动装载到{EPCnL,CCAPnL[7:0]}中,这样可实现无干扰地更新PWM占空比,要使能PWM模式,模块CCAPMn寄存器的ECOMn和PWMn位必须置位。PCA时钟输入源可以从以下8种中选择一种:SYSclk/12、SYSclk /8、SYSclk/6、SYSclk /4、SYSclk /2、SYSclk、定时器0的溢出、ECI/P1.2输入,PWM输出占空比由{EPCnL,CCAPnL[7:0]}确定。8位PWM的周期 = 计数脉冲周期×256 & && && && && && && && && & 8位PWM的频率 = 计数脉冲频率/2568位PWM的脉宽时间(高电平时间)= 计数脉冲周期×(256-CCAPnL)8位PWM的占空比 = 脉宽时间/PWM周期 = (1- CCAPnL/256) ×100%如果要实现给定频率的PWM输出,可选择定时器0的溢出或者ECI(P1.2)脚的输入作为PCA的时钟输入源。所有PCA模块都可用作PWM输出,由于所有模块共用PCA定时器,所以它们的输出频率相同,各个模块的输出占空比是独立变化的,当某个I/O 口作为PWM使用时,该口的状态如表8-9所示。表8-9 I/O口作为PWM使用时的状态
&&PWM之前的状态&&PWM输出时的状态弱上拉/准双向口强推挽输出/强上拉输出,要加输出限流电阻1KΩ-10KΩ强推挽输出/强上拉输出强推挽输出/强上拉输出,要加输出限流电阻1KΩ-10KΩ仅为输入/高阻PWM无效开漏开漏
助理工程师
17:56:20  
以下内容来源于《51单片机轻松入门-基于STC15W4K系列》
4、脉宽调节模式
& &PWM意为脉冲宽度调制,可用于调整输出直流平均电压,对于矩形波而言,输出平均压等于峰值电压×占空比,占空比是一个脉冲周期内高电平时间与周期的比值,例如,峰值电压等于5V,占空比等于50%的方波信号平均电压等于2.5V,也就是万用表直流档测量得到的电压值,8位PWM模式结构如图8-6所示, PWM输出不需要使用中断。
未命名13.JPG (30.5 KB, 下载次数: 1)
22:18 上传
通过程序设定寄存器PCA_PWMn(n=0,1,2,下同)中的位EBSn_1及EBSn_0,使其工作于8位PWM或7位PWM或6位PWM模式,当[EBSn_1,EBSn_0]=[0,0](默认值)或[1,1]时,PCA模块n工作于8位PWM模式,此时将{0,CL[7:0]}与[EPCnL,CCAPnL[7:0]]进行比较,当{0,CL[7:0]}中的值小于{EPCnL,CCAPnL[7:0]}时,输出为低,当{0,CL[7:0]}中的值等于或大于{EPCnL,CCAPnL[7:0]}时,输出为高,当EPCnL=0且CCAPnL=00H时,PWM固定输出高,当EPCnL=1且CCAPnL=FFH 时,PWM固定输出低。当CL的值由FF变为00溢出时,{EPCnH,CCAPnH[7:0]}的内容自动装载到{EPCnL,CCAPnL[7:0]}中,这样可实现无干扰地更新PWM占空比,要使能PWM模式,模块CCAPMn寄存器的ECOMn和PWMn位必须置位。PCA时钟输入源可以从以下8种中选择一种:SYSclk/12、SYSclk /8、SYSclk/6、SYSclk /4、SYSclk /2、SYSclk、定时器0的溢出、ECI/P1.2输入,PWM输出占空比由{EPCnL,CCAPnL[7:0]}确定。8位PWM的周期 = 计数脉冲周期×256 & && && && && && && && && & 8位PWM的频率 = 计数脉冲频率/2568位PWM的脉宽时间(高电平时间)= 计数脉冲周期×(256-CCAPnL)8位PWM的占空比 = 脉宽时间/PWM周期 = (1- CCAPnL/256) ×100%如果要实现给定频率的PWM输出,可选择定时器0的溢出或者ECI(P1.2)脚的输入作为PCA的时钟输入源。所有PCA模块都可用作PWM输出,由于所有模块共用PCA定时器,所以它们的输出频率相同,各个模块的输出占空比是独立变化的,当某个I/O 口作为PWM使用时,该口的状态如表8-9所示。表8-9 I/O口作为PWM使用时的状态
&&PWM之前的状态&&PWM输出时的状态弱上拉/准双向口强推挽输出/强上拉输出,要加输出限流电阻1KΩ-10KΩ强推挽输出/强上拉输出强推挽输出/强上拉输出,要加输出限流电阻1KΩ-10KΩ仅为输入/高阻PWM无效开漏开漏
18:21:13  
PWM是通过计数器产生的,占空比 = 比较值/计数器重载值;
周期 = 计数器重载值/计数器的时钟频率(=晶振频率*倍频/分频)
09:32:31  
PWM是通过计数器产生的,占空比 = 比较值/计数器重载值;
周期 = 计数器重载值/计数器的时钟频率(=晶振频率*倍频/分频)
能不能稍微再详细一些,概念比较模糊。
09:33:56  
以下内容来源于《51单片机轻松入门-基于STC15W4K系列》
4、脉宽调节模式
& &PWM意为脉冲宽度调制,可用于调整输出直流平均电压,对于矩形波而言,输出平均压等于峰值电压×占空比,占空比是一个脉冲周期内高电平时间与周期的比值,例如,峰值电压等于5V,占空比等于50%的方波信号平均电压等于2.5V,也就是万用表直流档测量 ...
8位PWM的周期 = 计数脉冲周期×256 这个还是不是太清楚,这个计数脉冲周期是什么?
12:34:20  
周期 = 计数器重载值/计数器的时钟频率(=晶振频率*倍频/分频)
STC12C5A60S2手册上有的,比较清晰
16:13:56  
周期 = 计数器重载值/计数器的时钟频率(=晶振频率*倍频/分频)
STC12C5A60S2手册上有的,比较清晰
11:57:58  
22:53:26  
这个好啊,正好在找这方面的
08:36:28  
8位的PWM的话,每个周期里要分为256个小脉冲,你要的占空比就是这256个脉冲里的高电平占这个整个256个电平的比例
22:08:11  
进来学习一下,这个PWM我也是不太会用
6个问题&&&&&&&&5487个浏览
6个问题&&&&&&&&3040个浏览
8个问题&&&&&&&&4619个浏览
每天选一个需要解决的问题,大家一起来帮忙。
授人玫瑰,手有余香
本次讲解答的问题例举:
1、NB-IoT有哪些技术特点和难点?
2、NB-IoT技术对物联网市场将带来什么样的影响。
3、NB-IoT物理层有哪些组成部分?
4、NB-IoT技术如何实现低功耗?
Powered by请问使用 CC2540/CC2541 定时器1 输出的 PWM 波的频率是如何设定的?公式是什么? - 蓝牙Bluetooth 技术 - 德州仪器在线技术支持社区
请问使用 CC2540/CC2541 定时器1 输出的 PWM 波的频率是如何设定的?公式是什么?
发表于3年前
<input type="hidden" id="hGroupID" value="42"
RT,使用的是 Modulo 模式。&/p>&div style=&clear:&>&/div>" />
请问使用 CC2540/CC2541 定时器1 输出的 PWM 波的频率是如何设定的?公式是什么?
此问题尚无答案
All Replies
RT,使用的是 Modulo 模式。
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
频率由T1CC0决定的,频率大小为Timer1的时钟输入频率/T1CC0的值。
It&#39;s the time to do something!
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
rtos 你好,
CLKCONCMD &= ~0x40; // 设置系统时钟源为 32MHZ晶振 while(CLKCONSTA & 0x40); // 等待晶振稳定
CLKCONCMD &= ~0x47; // 设置系统主时钟频率为 32MHZ
PERCFG = (PERCFG & ~0x40) | 0x03; // Select Timer 1 Alternative 0 location, set U1CFG and U0CFG to Alternative 1 P2DIR |= 0xC0; // Give priority to Timer 1 P0SEL |= 0x78; // Set P0.4, P0.5 and P0.6 to peripheral
T1CNTH = 0 T1CNTL = 0
T1CTL = 0x0e; /* mode: modulo bit[1:0]=10, div: tick/128 bit[3:2]=11 */
T1CCTL0 = 0x3c; T1CCTL1 = 0x24; T1CCTL2 = 0x24; T1CCTL3 = 0x24;
T1CCTL4 = 0x24;
T1CC0L = ; T1CC0H = ;
T1CC1L = 625%256;
T1CC1H = 625/256; /* white */ T1CC2L = 625%256;
T1CC2H = 625/256; /* green */ T1CC3L = 625%256;
T1CC3H = 625/256; /* blue */ T1CC4L = 625%256;
T1CC4H = 625/256; /* red */
按照你所说的公式,此输出的 PWM 频率应该是 /HZ
实际值却是50HZ,请问这是如何引起了?
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
我的初始化如下,生成频率125K,你可以参考一下,看看有没有区别 P1DIR|= BV(0)|BV(1); P0DIR|= BV(7); P1SEL|= BV(0)|BV(1); P0SEL|= BV(7);
PERCFG |= 0x40;
T1CTL = 0x00;&
T1CCTL1 = 0x34;
T1CCTL2 = 0x34;
T1CCTL3 = 0x34;
T1CNTL = 0; // Reset timer to 0;
T1CC0L = 0x00; // 125K 32M/256 = 125K
T1CC0H = 0x01; //
T1CC1L = 0x80; T1CC1H = 0x00; //&
T1CC2L = 0x80; T1CC2H = 0x00; //&
T1CC3L = 0x80; T1CC3H = 0x00;&
It&#39;s the time to do something!
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
有设定系统时钟吗?
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
默认的,没有设置
It&#39;s the time to do something!
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
ok,谢谢!
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
如果要输出2M的PWM,你们试过么,我怎么配置都不成功,最大频率是240K左右
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
您好,我现在也在用产生pwm波。但是我定时器1的3和4通道都无法正常产生。无法改变占空比。而1和2通道可以正常输出。四个通道的设置是一样的。想请教一下是为什么?谢谢
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
系统时钟默认2分频,即16M
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
探花5827分
关掉PWM系统分频功能
苦逼创业狗,欢迎交流
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
状元19712分
CC2541定时器1有5个捕获/比较通道,首先介绍什么是捕获和比较功能。
捕获通道:
设置的捕获开始的时候,CPU&会将计数寄存器的值复制到捕获比较寄存器中并开始计数,当再次捕捉到电平变化时,这时
计数寄存器中的值减去刚才复制的值就是这段电平的持续时间,你可以设置上升沿捕获、下降沿捕获、或者上升沿下降沿
都捕获。&捕获通道可以用来测量信号的频率周期或者波形的宽度,捕获通道类似于秒表的功能。
比较通道:
这里有两个单元:一个计数器单元和一个比较单元,比较单元就是个双缓冲寄存器,比较单元的值是可以根据不同的模式
设置的,与此同时,计数器在不停的计数,并不停的与比较寄存器中的值进行比较,当计数器的值与比较寄存器的值相等
的时候一个比较匹配就发生了,根据设置,可以&产生不同的波形。对于定时器1,计数器单元就是2个8位的SFR:T1CNTH
和T1CNTL,比较单元就是2个8位的寄存器T1CCxH和T1CCxL(x:0~4)。而对于定时器3(4),计数器单元是寄存器
T3CNT(T4CNT),比较单元是寄存器T3CC0和T3CC1(T4CC0和T4CC1)。比较通道可以用来产生特定的波形,输
出&PWM波,比较通道类似于闹钟的功能。
CC2541的输入捕获功能
输入捕获功能一般用的比较少,当定时器1的某一个通道被配置为输入捕获通道,那么对应的I/O引脚则自动配置为输入,&不
需要再通过配置寄存器PxDIR设定为输入&,在定时器开始计数后,&在外部输入信号的上升沿或者下降沿异或两个沿到来时触
发16位的计数器T1CNT的计数值装入对应通道的捕获/比较寄存器T1CCxH:T1CCxL&。注意根据沿到来的时间间隔选择合
理的定时器时&钟,假如外部信号每隔几us沿发生变化,但是定时器的时钟周期是几ms,显然捕获不到外部的沿变化。具体
应用时,可以在&中&断服务程序里把通道的捕获值T1CCxH:T1CCxL读到一个变量里,如果要测量一个信号的频率,可以将
第二次捕获的变量&减去第一次捕获的变量,得到的是信号的周期,倒数就是频率。但是有一个问题,假如说两次捕获的上升
沿(或下降沿)的时&间间隔大于时钟的周期,定时器溢出又从0x0000开始计数,那么这两次的计数值之差肯定就不对了,
所以在应用之前还得估&计一下外部信号的周期以选择合适的时钟周期,所以一般来说我们不用它来测量脉宽或者信号周期频
率。这个输入捕获功能当&做触发用特别合适,当检测到外部信号的沿变化时,在中断服务程序里完成相应的功能,比如触发
另外注意:输入捕获功能,在读取T1CCxH:T1CCxL时,必须先读取T1CCxL,再读取T1CCxH
下面重点讲解定时器&1的通道输出比较功能。
CC2541的输出比较功能:
在输出比较模式时,I/O引脚被配置为输出功能,&不&需要再通过配置寄存器PxDIR设定为输出引脚&,&在定时器开始工作后,计数
值和对应通道的捕获/比较寄存器T1CCxH:T1CCxL比较,如果两者相等,那么输出引脚就会根据T1CCTLn.CMP的配置发生
置1、清0、翻转等变化&。T1CCTLn.CMP的定义根据通道的不同也不一样,比如通道0寄存器T1CCTL1定义如下:
T1CCTL1.MODE是选择定时器1通道的模式,1:输出比较模式,0:输入捕获模式
T1CCTL1.IM是通道的中断使能标志,1:开通道中断,0:关通道中断
T1CCTL1.CAP用来选择输入捕获沿的选择,&当通道处于输出比较模式时,T1CCTL1.CAP配置为00
01:上升沿捕获
10:下降沿捕获
&11:上升沿和下降沿都捕获
T1CCTL1.CMP是输出比较模式的选择,对于通道1来说有7种模式&,分别为
000:当计数值等于T1CCxH:T1CCxL时,输出引脚置1
001:当计数值等于T1CCxH:T1CCxL时,输出引脚清0
010:当计数值等于T1CCxH:T1CCxL时,输出引脚电平翻转
011:在正计数/倒计数模式下,在计数增加阶段,当计数值等于T1CCxH:T1CCxL时,输出引脚置1,在计数递减阶段,当计数值等于T1CCxH:T1CCxL时,输出引脚清0
& & & & 在其他模式下,当计数值等于T1CCxH:T1CCxL时,输出引脚置1,当计数值等于0x0000时,输出引脚清0
100:在正计数/倒计数模式下,在计数增加阶段,当计数值等于T1CCxH:T1CCxL时,输出引脚清0,在计数递减阶段,当计数值等于T1CCxH:T1CCxL时,输出引脚置1
& & & & 在其他模式下,当计数值等于T1CCxH:T1CCxL时,输出引脚清0,当计数值等于0x0000时,输出引脚置1
101:当计数值等于T1CCxH:T1CCxL时,输出引脚置1;当计数值等于T1CC0H:T1CC0L时,输出引脚清0
110:当计数值等于T1CCxH:T1CCxL时,输出引脚清0;当计数值等于T1CC0H:T1CC0L时,输出引脚置1
111:初始化输出比较引脚
通道0的输出比较功能比较少,如下图所示,这是因为T1CC0H:T1CC0L在模式6和模式7下有特殊的功能,这就意味着这两种模式对于通道0不可用
所以要生成较复杂的波形,一般都不用通道0。
比较输出引脚会在下面两种情况下被初始化
往定时器计数器低字节T1CNTL&写入任何值,并且会导致计数器被清除为0x0000
T1CCTLn.CMP设置为111
初始化值如下表,在不同模式下,初始值不同
接下来看下,定时器1在自由运行模式、模模式、正计数/倒计数模式下的输出波形
自由运行模式
我们可出,除了模式000、001、010,其他的模式输出的波形周期都是0xffff个计数时间,所以周期和定时器1的计时频率有关,也就是和T1CTL.DIV及CLKONCMD寄存器有关。
周期:0xffff
占空比:T1CCn/T1CC0或者1-T1CCn/T1CC0
我们可出,除了模式000、001、010,在定时器时钟频率一定的情况下,其他的模式输出的波形周期和寄存器T1CC0有关
周期:T1CC0
占空比:T1CCn/T1CC0或者1-T1CCn/T1CC0
正计数/倒计数模式
周期:2*T1CC0
占空比:010和100&&T1CCn/T1CC0;011&&1-T1CCn/T1CC0;101和110&&(T1CC0-T1CCn)/2*T1CC0
端口引脚初始化:&配置引脚功能为外设I/O,外设位置选择,位置优先级选择,注:无需配置引脚方向PxDIR
例子中用到引脚P1.0,定时器1的位置2位引脚P1.0为通道2
1 /******************************************************************************
2 *函 数 名:InitPWM
3 *功 能:PWM输出口P1.0初始化
4 *入口参数:无
5 *出口参数:无
6 ******************************************************************************/
7 void InitPWM(void)
//P1.0设置为外设I/O口:定时器1通道2
PERCFG |= (1&&6);
//定时器1为外设位置2
P2SEL &= ~(1&&4);
//优先级控制:定时器1优先
定时器初始化配置:
定时器1分频值,工作模式配置,寄存器T1CTL
定时器1通道n输入捕获/输出比较模式选择,如果用到中断,开通道n中断,寄存器T1CCTLn
通道1捕获/比较寄存器值配置,先低位后高位,T1CC0L和T1CC0H
通道n捕获/比较寄存器值配置,先低位后高位,T1CCnL和T1CCnH
开定时器1溢出中断,TIMIF
定时器1中断使能,IEN1
开总中断,EA
1 /******************************************************************************
2 *函 数 名:InitT1
3 *功 能:定时器1初始化,使用通道2
4 *入口参数:无
5 *出口参数:无
6 ******************************************************************************/
7 void InitT1(void)
//定时器1设置为128分频,正计数/倒计数模式
T1CCTL2 = 0x6c;
//定时器1通道2配置为比较输出,模式101,开通道2中断
T1CC0L = 0x00;
//定时器1通道0捕获/比较值低位
T1CC0H = 0x88;
//定时器1通道0捕获/比较值高位
T1CC2L = 0x00;
//定时器2通道0捕获/比较值低位
T1CC2H = 0x44;
//定时器1通道2捕获/比较值高位
TIMIF &= ~(1&&6);
//定时器1溢出中断使能
|= (1&&1);
//定时器1中断使能
//开总中断
这样就可以输出PWM波形了,对于只输出PWM波,中断是可以不用管的,不开中断什么的都可以
本例使用的定时器主时钟是32MHz,128分频,周期是2*T1CC0H:T1CC0L(2*0x8800)计时时间,为278.528ms,频率为3.59Hz,占空比为
(T1CC0-T1CCn)/2*T1CC0=25%
波形用示波器看得
另外,通过实验发现,当输出频率较高的波形时,波形失真较明显,下图是输出8MHz的波形
好好学习,天天向上。
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
状元19712分
/question_answer/wireless_connectivity/bluetooth/f/103/t/47906.aspx
/chenzhao207/p/4498842.html
参考这两个帖子或文章。
好好学习,天天向上。
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
状元35785分
这个资料也很适合楼主,可以参考下
/articles/y6JfAve
昵称:灰小子
You have posted to a forum that requires a moderator to approve posts before they are publicly available.
频率即周期的倒数。
根据定时器捕获比较值来计算的。
计算两个上升沿的时间间隔即1个周期。
假设定时器计数+1=1ms,第一次捕获时间轴为55,第二次捕获时间轴为77,则周期=(77-55)*1ms=22ms
频率f=1/22ms~=45Hz。
You have posted to a forum that requires a moderator to approve posts before they are publicly available.您现在的位置:
通达信变盘周期计算主图指标公式是怎样的?
  通达信变盘周期计算主图指标公式是怎样的?
高:=REFDATE(HHV(H,N),DATE);&
低:=REFDATE(LLV(L,N),DATE);
H11:=高-(高-低)*1.000;
H10:=高-(高-低)*0.875;
H9:=高-(高-低)*0.750;
H8:=高-(高-低)*0.666;
H7:=高-(高-低)*0.625;
H6:=高-(高-低)*0.500;
H5:=高-(高-低)*0.375;
H4:=高-(高-低)*0.333;
H3:=高-(高-低)*0.250;
H2:=高-(高-低)*0.125;
H1:=高-(高-低)*0.000;
STICKLINE(CURRBARSCOUNT=18,高,高,120,1),COLORGREEN;
STICKLINE(CURRBARSCOUNT=18,H1,H1,120,1),COLORCYAN;
STICKLINE(CURRBARSCOUNT=18,H2,H2,120,1),COLORCCCCCC;
  南方财富网微信号:southmoney
南方财富网声明:资讯来源于合作媒体及机构,属作者个人观点,仅供投资者参考,并不构成投资建议。投资者据此操作,风险自担。
&K线图学习
48小时排行造价与管理
&&&&&&&&&&&&周期比怎么计算?
周期比怎么计算?
相关标签:
&&& 1)扭转周期与平动周期的判断:从计算书中找出所有扭转系数大于0.5的扭转周期,按周期值从大到小排列。同理,将所有平动系数大于0.5的平动周期值从大到小排列;&&& 2)第一周期的判断:从列队中选出数值最大的扭转(平动)周期,查看软件的“结构整体空间振动简图”,看该周期值所对应的振型的空间振动是否为整体振动,如果其仅仅引起局部振动,则不能作为第一扭转(平动)周期,要从队列中取出下一个周期进行考察,以此类推,直到选出不仅周期值较大而且其对应的振型为结构整体振动的值即为第一扭转(平动)周期;&&& 3)周期比计算:将第一扭转周期值除以第一平动周期即可。&
相关知识点
注:评论内容不得超过140个字&&
知识点记录
浏览次数:
编辑次数:1次&
最近更新:
[其他设计资料]热门知识

我要回帖

更多关于 cc2530指令周期 的文章

 

随机推荐