怎么使用quartus使用教程 电路图

2016第三届物联网大会
智能后视镜产品方案对接会
中国LED智能照明高峰论坛
第三届·无线通信技术研讨会
第二届·中国IoT大会
ETFo智能安防技术论坛
移入鼠标可放大二维码
Quartus II - 电路设计宝典:主流电路设计软件大盘点
来源:网站整理
作者:孔令双日 10:22
[导读] Quartus II
  Quartus II
  Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。
  Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。
  此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。
  Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。
  Altera Quartus II 作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。
  Quartus II资料下载:
电路设计软件相关文章
电路设计软件相关下载
电路仿真软件相关文章
电路仿真软件相关下载
随着人工智能行业的快速发展,未来机器人都将在各行各业发挥重要的作用,且充满无限可能。在电影《超能陆战队》中,机器人主角“大白”既能识别他...
今日,京东方(BOE)举办了“京东方全球创新伙伴大会”,其董事长王东升在现场发表了关于物联网以及人工智能的主题演讲,但作为一家显示屏提供商,...
创新实用技术专题
版权所有 & 深圳华强聚丰电子科技有限公司&&&&用quartus 2编的全加器(原理图输入)
&用quartus 2编的全加器(原理图输入)
这是用quartus2编的全加器,完全用原理图,仅供参考
若举报审核通过,可奖励20下载分
被举报人:
举报的资源分:
请选择类型
资源无法下载
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
*详细原因:
VIP下载&&免积分60元/年(1200次)
您可能还需要
Q.为什么我点的下载下不了,但积分却被扣了
A. 由于下载人数众多,下载服务器做了并发的限制。若发现下载不了,请稍后再试,多次下载是不会重复扣分的。
Q.我的积分不多了,如何获取积分?
A. 获得积分,详细见。
完成任务获取积分。
论坛可用分兑换下载积分。
第一次绑定手机,将获得5个C币,C币可。
关注并绑定CSDNID,送10个下载分
下载资源意味着您已经同意遵守以下协议
资源的所有权益归上传用户所有
未经权益所有人同意,不得将资源中的内容挪作商业或盈利用途
CSDN下载频道仅提供交流平台,并不能对任何下载资源负责
下载资源中如有侵权或不适当内容,
本站不保证本站提供的资源的准确性,安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
课程资源下载排行
您当前C币:0&&&可兑换 0 下载积分
兑换下载分:&
消耗C币:0&
立即兑换&&
兑换成功你当前的下载分为 。前去下载资源
你下载资源过于频繁,请输入验证码
如何快速获得积分?
你已经下载过该资源,再次下载不需要扣除积分
用quartus 2编的全加器(原理图输入)
所需积分:2
剩余积分:0
扫描微信二维码精彩活动、课程更新抢先知
VIP会员,免积分下载
会员到期时间:日
剩余下载次数:1000
用quartus 2编的全加器(原理图输入)
剩余次数:&&&&有效期截止到:
你还不是VIP会员VIP会员享免积分 . 专属通道极速下载
VIP下载次数已满VIP会员享免积分 . 专属通道极速下载,请继续开通VIP会员
你的VIP会员已过期VIP会员享免积分 . 专属通道极速下载,请继续开通VIP会员Quartus II使用与基本逻辑电路的设计_中华文本库
第1页/共9页
Quartus II使用与基本逻辑电路的设计
[实验目的]
1、熟悉Quartus II的文本输入方式, 掌握其编辑、编译综合、仿真的操作方法;
2、学习Quartus II环境下的编程下载及硬件测试方法;
3、学习应用QuartusII完成基本时序电路设计;
4、应用QuartusII完成基本组合电路的设计。*
[实验仪器]
Quartus II 6.0软件
[实验内容]
(1) 实验内容1:在QuartusⅡ上输入该设计的文本,并进行编辑、编译、综合、适配、仿真。说明设计中各语句的作用,详细描述设计的功能特点,给出其所有信号的时序仿真波形。
(2) 实验内容2:引脚锁定以及硬件下载测试。引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。
* (3) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考4.3节。 * (4) 实验内容4:从设计中去除SignalTap II,要求全程编译后生成用于配置器件EPCS1编程的压缩POF文件,并使用ByteBlasterII,通过AS模式对实验板上的EPCS1进行编程,最后进行验证。
*(5) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端(采用时钟选择clock0=12MHz,计数器时钟CLK分别选择256Hz、16384Hz、6MHz),并进行实时测试。
[实验原理]
数字逻辑电路中,根据逻辑功能的不同特点,可以把数字逻辑电路分成组合逻辑电路和时序逻辑电路两大类用。在组合逻辑电路中,任意时刻的输出仅仅取决于该时刻的输入,与电路的原来状态无关;在时序逻辑电路中,任一时刻的输出信号不仅取决于当时的输入信号,而且还取决于电路原来的状态,也就是与电路原来的状态有关。在数字系统中使用的最多的时序电路要算是计数器了。计数器应用非常广泛,可以以用于对时钟脉冲的计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列等。在一些测试设备中也有很重要的作用。计数器是数字系统中的重要组成部分,本实验拟设计一个同步加计数的十进制计数器。要求设计具有如下功能:1、有同步时钟使能(即只有在使能信号作用下才允许计数),2、异步清0(只要清零信号有效则计数器变为全0)功能。
[实验步骤]
一、建立设计项目文件夹并编辑文本文件
建立和编辑一个图形文件,是数字系统或数字逻辑电路设计的第一步,该软件运行在Windows NT或Windows XP环境下。
1、 建立工作库文件夹
第1页/共9页
寻找更多 ""1203人阅读
FPGA(20)
你可以在上面搜索到quartus的下载链接,然后在百度能找到。
不建议用quartus13以前的版本(旧版带的USB blaster驱动可能在WIN8、WIN10上面安装不了),还有quartus13及以后的版本都把IDE和器件包分成两部分,记得下载器件包。此外,quartus13.0sp1是最后一个支持cyclone II的版本。
接下来,介绍Quartus 13最基础的日常使用方法。
如何新建工程就不说了,但是要注意,工程目录和工程名不要有中文和空格,还有,要有一个设计文件(如,.v、.vhd、.bsf文件)的名称要跟工程名一样,不然会有警告。
一、新建工程,New个设计文件,会出现下图所示。
上面那么多种文件有什么卵用?
1、AHDL文件,用于编写Altera发明的AHDL语言,此语言已经被淘汰,可以不管它。
2、Block Diagram/Schematic文件,原理图文件,跟AD、PADS、Cadence等电路图设计软件的用法差不多。
3、EDIF文件,网表文件。
4、Qsys system文件,用于设计软核,Qsys前身是NIOS。
5、State Machine文件,状态机文件。
6、System Verilog文件,用于系统级验证。
7、Tcl script文件,TCL脚本文件。
8和9、常用的HDL文件(包括verilog和VHDL)
10是十六进制文件,11是MIF文件,用于ROM或RAM的初始化。
12、Probe文件,用于观察FPGA内部某一信号,一般用Signaltap
13、 逻辑分析仪接口文件,暂时不知道有什么用。
14、VWF文件,用于调用quartus自带的仿真工具QSIM
15、AHDL头文件,可以不管它。
16、原理图的Symbol文件,用于编辑原理图Symbol,跟你用电路图设计软件时,画原理图库差不多。
17、JTAG链描述文件,给一个或多个芯片下载代码时用的。
18、Synopsys约束文件,时序约束用的SDC文件。
19、txt文件。
就算quartus支持那么多种文件,但常用的没几个,一般是原理图.bdf文件或者纯文本的.v或.vhd文件,就算后面需要用逻辑分析仪或者时序约束,也是可以用quartus自动给你生成的。
特别要注意一下,不同类型的文件,名字最好不一样。比如,已经有了test.v,就不要用弄test.vwf,不然你双击test的原理图Symbol的时候,会问你要打开test.v还是test.vwf,让你浪费不必要时间。我一般是除了.v或.vhd文件用test之外,vwf就用test_vwf.vwf,bdf就用test_top.bdf。
二、如何把HDL文件,转成原理图Symbol
先选中你要转的HDL文件。
白色衬底(左边)是被选中的HDL文件,右边是没有选中的,然后在菜单栏File下面。
点Create Symbol Files for Current File,即可把HDL转成原理图Symbol了,然后新建一个.bdf的原理图文件。
双击红圈位置,在libraries-&Project下,已经生成了原理图Symbol了,点OK,可以调用了。(我的机子是高分屏,Symbol显示有点问题,是quartus对高分屏支持得不好)。
如果你觉得原理图的symbol不够大,可以按住Ctrl键,滑动鼠标滚轮,可以使symbol放大/缩小。
再来对照一下,原理图Symbol和.vhd文件的实体
entity bin2bcd is
add : in std_
sub : in std_
:out std_logic_vector(3 downto 0)
这是一一对应,输入在左,输出在右,有多个输入的时候,也会按顺序排列,如果不方便连线,可以改一下引脚的顺序,再保存,生成Symbol,再update。
右击Symbol,就可以update了,update时,会出现下面的对话框。
懂点英语的,都能看懂上面三个选择。1、只update选中的symbol,2、update所有关联(名字一样)的symbol,3、update全部symbol。一般选第一个。
quartus13有个bug,生成的symbol的实例名可能会重名,而报警告。这时,可以右击symbol,选properties。
把上图的inst改成其它名字就可以了。
三、在刚才调用symbol的位置,还可以调用其它IP核。
在Name下面输入input,可以调用输入端口,output就是输出端口了,这些都是quartus自带的一些symbol,把primitives下面的折叠全部打开,你会发现有很多东西,如:与或非门、各种触发器等。当然,还有其它东西,自己去挖掘吧。特别要说明两个symbol,VCC代表高电平,GND代表低电平,可用于使能或者其它用途。
也是在刚才那个对话框,下面有MegaWizard,用来调用quartus自带的IP核,也叫宏功能模块(LPM),有部分是免费,部分是收费,还有部分需要联网下载的。
MegaWizard的使用方法也很简单,写上IP核的名字,一步步next到finish就可以了,调用也是在上面那个对话框里调用。
其中,原理图symbol之间的连线要注意一下位宽,不然会出错。也可以使用网络标号,先把线引出来,选中线,再输入网格标号就可以了,但是要注意一下格式,如:qout是8位,网络标号就要写成qout[7..0]。也有些特殊用法,如下图所示:
这里,引出64位宽的fre信号,而在另一个symbol则只连了[63..32]这几个脚,这是合法的,空出来的引脚,没用的话,可以不管。
四、编写好你的设计文件之后,就可以编译(也可以叫综合)了。因为综合很慢,所以先分析一下设计文件有无语法错误之类的,再综合。
综合的时候,要注意,哪个是顶层文件。比如你弄一个流水灯,在led_top.bdf里面,有分频模块fre_div的symbol和流水灯控制模块led_ctrl的symbol的话,那么led_top.bdf就是顶层文件,因为它调用了你所有的设计文件。你可以先选中led_top.bdf,再用快捷键Ctrl+Shift+J,把它设置为顶层文件,不然综合出来有很多警告,甚至出错。
上图所示,蓝圈就是分析设计文件了,而红圈就是综合。综合之后,就会生成report,告诉你,你设计出来的电路占用哪些资源。
懂点英文和FPGA的内部结构的,都能看懂上图。现在解释一下:
1、电路占用了62个LE。
2、电路占用了43个组合电路。
3、电路占用了55个专用的寄存器。
4、整个电路占用了55个寄存器。
5、电路使用了31个引脚,我的FPGA是EP2C8Q208C8N,一共有208个引脚,其中138个引脚是可用的。
6、电路使用了0个虚拟引脚,虚拟引脚是前期设计时,预先分配的,可以解决引脚不够用导致无法综合的问题。
7、电路使用的内存为0 bit,这里memory是指FPGA内部的存储单元,包括ROM和RAM,其中RAM指的是块RAM而不是分布式RAM,因为分布式RAM是用LE实现的。
8、电路使用了0个PLL,我这芯片一共有2个PLL,PLL是固核(也可以认为是硬核,硬核和固核的分界线并不是很明确),可以配置一下就调用,某些FPGA会有4个或更多的PLL固核。
五、接下来看看,你综合出来是个什么电路。在菜单栏的Tools下面,点击查看RTL图、状态机图(前提是综合出来有状态机)或者工艺映射表(Technology
其中RTL图和工艺映射表,都可以表示你设计出来的电路,区别在于,RTL图抽象层次更高一些,而工艺映射表更接近于门级。
某些时候,RTL并不能完全表示出电路的正确性,因为综合出来,有部分细节出错,在RTL图看不出来,这里得用工艺映射表。
六、用Assignment Editor锁管脚。
在Value下面输入23,就可以把clk锁定在23号引脚了。如下图所示。
七、锁完之后,保存好,还要再综合一次,这样的综合出来的电路才是你需要的。如果不想综合两次,那就要用TCL脚本来锁管脚了。
新建一个TCL脚本文件。输入如下代码:
set_location_assignmentPIN_23&-to&clk
这样就可以把clk锁定在23号引脚了。如果你的引脚是按键,就要加上拉电阻(不然会容易烧坏IO口),输入如下代码:
set_location_assignmentPIN_208&-to&switch_input
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to switch_input
这样就把switch_input这个锁在208号引脚,同时给208号引脚加了上拉电阻。
保存好你的TCL脚本,然后在菜单栏的tools下,点TCL scripts,
点Run,运行一下你的TCL脚本。这样,就只需要综合一次了。
八、接好你的JTAG就可以用Programmer下载代码了,由于此步骤太简单了,省略。
其中sof文件,是直接JTAG下载的,断电就没了;pof文件是AS下载,断电可保存。但是AS下载需要额外加点电路,而且对电路要求高,有点问题都无法下载,而且工业上的板子也只有JTAG下载口(节省PCB面积),这样就得用JTAG间接下载,断电可保存。
在菜单栏的file下,转换编程文件:
选择jic格式,配置芯片的型号(我这里是EPCQ16),然后改一下jic的名字,默认是output_file。
先点一下SOF Data
然后add file,把你的SOF文件添加进去。
然后点generate即可生成jic文件。
最后在programmer,添加这个jic文件,就可以下载代码了。下载完之后,FPGA并不直接运行代码,需要先断电,再上电,FPGA才正常工作。
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:43484次
积分:1154
积分:1154
排名:千里之外
原创:72篇
评论:37条
(3)(3)(5)(3)(3)(3)(1)(4)(10)(2)(2)(2)(6)(6)(1)(9)(7)(2)

我要回帖

更多关于 quartus ii 电路图 的文章

 

随机推荐