三角波发生电路实验报告问题求助

求助,LM324制作三角波发生器_百度知道
求助,LM324制作三角波发生器
答题抽奖
首次认真答题后
即可获得3次抽奖机会,100%中奖。
Zinc阿锌jp
Zinc阿锌jp
采纳数:39
获赞数:77
三角波发生器
为你推荐:
其他类似问题
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。求助:NE555he LM324 产生三角波,方波,正弦波【multisim吧】_百度贴吧
&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&签到排名:今日本吧第个签到,本吧因你更精彩,明天继续来努力!
本吧签到人数:0成为超级会员,使用一键签到本月漏签0次!成为超级会员,赠送8张补签卡连续签到:天&&累计签到:天超级会员单次开通12个月以上,赠送连续签到卡3张
关注:23,541贴子:
求助:NE555he LM324 产生三角波,方波,正弦波收藏
555芯片和一片通用四运放324芯片,设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路。四种波形的频率关系为1:1:1:3(3次谐波):脉冲波、锯齿波、正弦波Ⅰ输出频率范围为8kHz—10kHz,输出电压幅度峰峰值为1V;正弦波Ⅱ输出频率范围为24kHz—30kHz,输出电压幅度峰峰值为9V;脉冲波、锯齿波和正弦波输出波形应无明显失真采用什么方案较好?555产生方波,然后滤波出三角和正弦?还是555出三角,然后滤波出方波和正弦?
楼主,你做出来了没?求电路啊
请问 NE555芯片在哪个目录下
楼主求电路,很急
我也求电路图和PCB图啊
老哥。求电路图
有没有做出来的
第四个不会做
登录百度帐号[求助]ICL8038芯片经典电路为什么没有方波,三角波输出? - 芯片测试与失效分析 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
林超文手把手教你学!
教你1000种电路设计思路
张飞硬件电路之PFC全集
参与免费送VIP+原创视频
运放、ADC、电磁兼容
[求助]ICL8038芯片经典电路为什么没有方波,三角波输出?
16:55:58  
本帖最后由 ABC12580 于
16:57 编辑
用ICL8038芯片搭建了一个其官方的测试电路,就只有正弦波输出,请各位朋友帮忙解答,谢谢!
QQ截图06.png (37.62 KB, 下载次数: 1)
16:56 上传
现在考虑是否测他波形时加个电阻当负载????
高级工程师
21:20:53  
翻开一本模电书,上面应该就有
高级工程师
01:07:44  
学习学习& && && && && && && && &
18:19:29  
你画图仿真用的什么什么软件?
18:57:25  
proteus 里有ICL8038 可以仿真。。。
08:54:32  
KANKAN{:23:}
林超文手把手教你学!
教你1000种电路设计思路
张飞硬件电路之PFC全集
参与免费送VIP+原创视频
运放、ADC、电磁兼容
Powered by
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司

我要回帖

更多关于 方波三角波信号发生电路设计 的文章

 

随机推荐