求助,想一个想象作文题目大全

当前位置: >>
>> [求助]怎么作好这些题目,我想知道答案...
&&详细解决方案
[求助]怎么作好这些题目,我想知道答案...
热度:263&&&发布时间: 19:48:24.0
[求助]怎么作好这些题目,我想知道答案...
给出下述程序的执行结果
#include &stdio.h&#define
ADD( X ,Y )
X*Ymain( ){int
a = 3 , b = 6 ;
printf( "%d\n" ,ADD( a++ , b++ ) ) ;}2.
给出下述程序的执行结果
void fun( ){ static
a[3]={0, 1, 2}; int
i=0 ; while (i&3 )
a[ i ] += a[ i ] +1;
} for( i = 0; i&3; i ++)
printf("%d ", a[ i ] ); }main( ){
fun( ); } 3.
给出下述程序的执行结果
#include &stdio.h&int f(int b);main(){ int a=3,i; for(i=0;i&3;i++)
printf("%d,",f(a++));}int f(int b){ int a=0; static int c=3; a++; b++; c++; return (a+b+c);}
给出下述程序的执行结果
#include &stdio.h&int x=2;void increment();main(){ int x=8; increment(); x++; increment(); x++; increment();}void increment(){ int x=0; x++; printf("%d,",x);}
给出下述程序的执行结果
#include &stdio.h&long fib(int x){ switch(x) {
case 0: return 0;
return 1; } return (fib(x-1)+fib(x-2));}main(){ int x=6; printf("%d\n",fib(x));}
给出下述程序的执行结果
#include &stdio.h&int x1=30,x2=40;void swap(int x,int y);void main(){ int x3=10,x4=20; swap(x3,x4); swap(x2,x1); printf("%d,%d,%d,%d\n",x3,x4,x1,x2);}void swap(int x,int y){ x1=x; x=y; y=x1;}
给出下述程序的执行结果
#include &stdio.h&int a=4,b=5;int max(int a,int b){ c=a&b?a:b;}void main(){ int a=6; printf("%d\n",max(a,b));}
给出下述程序的执行结果
#include &stdio.h&#define ADD(x) x+xvoid main()
{ int m=1,n=2,k=3; int sum=ADD(m+n)*k; printf("%d",sum);}
给出下述程序的执行结果
#include&stdio.h&void
num(){ extern int x,y; int a=15,b=10; x=a-b; y=a+b;}
main(){ int a=7,b=5; x=a+b; y=a-b;
num(); printf("%d,%d\n",x,y); }
给出下述程序的执行结果
#include&stdio.h&int fun2(int a,int b){ c=a*b%3;}int fun1(int a,int b){
a+=a; b+=b; c=fun2(a,b); return
c*c;}main(){
int x=11,y=19; printf("%d\n", fun1(x,y));}
写出下述程序的输出结果
#include &stdio.h&int x = 1;int f1(){
return (++x);}int f2(int x){
static int y = 3;
return (x++);}int f3(int x){
return (--x);}void main(){
int x,y,z;
x = y = z = 10;
printf("%d,",f1());
printf("%d,",f2(x));
printf("%d,",f2(x));
x = y++ + --z;
printf("%d\n",f3(x));}
写出下述程序的输出结果
#include &stdio.h&int func(int n){ if(n&=1)
return 1; else
return (2+n*func(n-1));}
void main(){ int x = 4; printf("%d\n",func(x));}
【问题描述】 编写函数int sum(int x),求整数x的各位数字之和。在main函数中测试该函数:从键盘输入一非负整数,然后调用sum函数计算各位数字之和并输出结果。 【输入形式】 输入一个正整数。 【输出形式】
输出该整数各位数字之和。 【样例输入】58 【样例输出】
13 【样例说明】
输入整数58,其各位数字之和为:5+8 = 13。 2.
【问题描述】编写一函数int comb(int a,int b),将两个两位数的正整数a、b合并形成一个整数并返回。合并的方式是:将a的十位和个位数依次放在结果的十位和千位上, b的十位和个位数依次放在结果的个位和百位上。例如,当a=45,b=12。调用该函数后,返回5241。要求在main函数中调用该函数进行验证:从键盘输入两个整数,然后调用该函数进行合并,并输出合并后的结果。【输入形式】输入两个两位数的正整数,以空格隔开。
【输出形式】
输出合并后的正整数。【输入样例】45 12【输出样例】5241
【问题描述】用直线对圆进行一次分割,可以把圆分成两块。第二次分割,最多可以分成4块。第三次最多可以分成7块(如图)。给定正整数N(1≤N≤65535),求经过N次分割后,最多能把圆分成多少块。
【输入文件】从标准输入读取输入。只有一个整数N,代表分割的次数。【输出文件】向标准输出打印结果。输出只有一个数字,是经过N次分割后最多分成的块数。在行末要输出一个回车符。【输入样例】 3【输出样例】 7【样例说明】把圆分割三次,最多可以分成7块
【问题描述】用递归方法编写求最大公因子程序。两个正整数x和y的最大公因子定义为:如果y&=x且x mod y=0时,gcd(x,y)=y;如果y&x时,gcd(x,y)=gcd(y,x);其他情况,gcd(x,y)=gcd(y,x mod y)【输入形式】用户在第一行输入两个数字,数字之间用空格分割。【输出形式】程序在下一行输出前面输入的两个数字的最大公因子。【样例输入】36 24【样例输出】12【样例说明】用户输入36,24,程序输出它们的最大公因子12
【问题描述】输入一个自然数N(1≤N≤9),要求输出如下的魔方阵,即边长为2*N-1,N在中心出现一次,其余位置上的数字从外向中心逐渐增大。N=3时:1111112221123211222111111N=4时:1111111122222112333211234321123332112222211111111【输入形式】从标准输入读取一个整数N。【输出形式】向标准输出打印结果。输出符合要求的方阵,每个数字占一个字符宽度,在每一行末均输出一个回车符。【输入样例】3【输出样例】1111112221123211222111111
【问题描述】在屏幕上显示如下杨辉三角形:
【输入形式】从键盘输入整数n(n&=0)【输出形式】在屏幕上输出n+1行杨辉三角形。
【输入样例】 3【输出样例】------***1
----***1***1
--***1***2***1
***1***3***3***1
其中-和*都是空格位
即每个数字占四位!
搜索更多相关的解决方案:
----------------解决方案--------------------------------------------------------
1的个&&&18&&
----------------解决方案--------------------------------------------------------
2的个&&&1&&3&&5&&&3&&&7&&&11
----------------解决方案--------------------------------------------------------
3的个&&&9,11,13
----------------解决方案--------------------------------------------------------
4的个&&1,1,1
----------------解决方案--------------------------------------------------------
----------------解决方案--------------------------------------------------------
6的个&&10,20,40,40
----------------解决方案--------------------------------------------------------
----------------解决方案--------------------------------------------------------
8的个&&&10
----------------解决方案--------------------------------------------------------
9的个&&5,25
----------------解决方案--------------------------------------------------------
&&相关解决方案
本站暂不开放注册!(window.slotbydup=window.slotbydup || []).push({
id: '5450491',
container: s,
size: '600,50',
display: 'inlay-fix'
(window.slotbydup=window.slotbydup || []).push({
id: '3991088',
container: s,
size: '100,240',
display: 'inlay-fix'
(window.slotbydup=window.slotbydup || []).push({
id: '3991102',
container: s,
size: '100,240',
display: 'inlay-fix'
(window.slotbydup=window.slotbydup || []).push({
id: '4044404',
container: s,
size: '240,146',
display: 'inlay-fix'
比较罕见的问题求助(什么函数可以实现自动N选1的其中一个最小值)
理想初一级同学
还需要 1442 积分才能升级
比较罕见的问题求助(什么函数可以实现自动N选1的其中一个最小值)
浏览:3455
欢迎光临理想论坛,由于您没有登录,所以无法查看到论坛的附件及隐藏分区,也无法与其他会员交流。
还没有理想论坛的帐号?
例如通达信函数里可以求9日的最低价的最小值如:
LLV(LOW,9);
而我现在是在公式里面列出五个条件值(在收盘前条件值都是变化的),公式在收盘前需要在五个条件值里面取一个最小值,通达信有什么函数可以实现自动N选1的其中一个最小值。我知道有过滤函数,但是过滤函数只能过滤在N个周期出现的条件。
[ 本帖最后由 福阿德 于
23:56 编辑 ]股票论坛 www.55188.com
积分2558&理想币25 个&彩币5 个&共享币100 个&注册时间&
理想高三级同学(菠菜一段)
还需要 7118 积分才能升级
回复 楼主 @有钱挣
只有MIN函数。
积分22882&理想币9688 个&彩币55 个&共享币7970 个&注册时间&
指标区班长(菠菜一段)
引用:原帖由
22:12 发表
例如通达信函数里可以求9日的最低价的最小值如:
LLV(LOW,9);
而我现在是在公式里面列出五个条件值(在收盘前条件值都是变化的),公式在收盘前需要在五个条件值里面取一个最小值,通达信有什么函数可以实现自动 ...&&&&可以试试这几个函数,注意是同类的数据。
(29.27 KB)
积分155181&理想币121285 个&彩币100 个&共享币52187 个&注册时间&
理想高三级同学(菠菜一段)
还需要 7118 积分才能升级
回复 3楼 @福阿德
这两个函数,效果差不多
因为楼主所指的五个条件的相对顺序并不固定。
积分22882&理想币9688 个&彩币55 个&共享币7970 个&注册时间&
快速回复主题
禁用 URL 识别
使用个人签名
接收新回复邮件通知
发帖请务遵守本站的相关规则,所有发表(包括转发)政治、色情非法信息者本站将实时提供发贴者个人信息给公安局,追究责任,特此申明!
具体规则请参见《》
您需要登录后才可以发帖
发表帖子[完成后可按 Ctrl+Enter 发布]
(window.slotbydup=window.slotbydup || []).push({
id: '5469610',
container: s,
size: '990,100',
display: 'inlay-fix'
理想论坛上的网友发表的帖子纯属个人意见,理想论坛不负任何责任!广告赞助商内容与本站无关!
理想论坛值班电话[9:30~18:30]: &#6 5518-1 &#66 3090 ☎ 186 (广告)☎ 182 (广告)
工业和信息化部信息备案:帐号:密码:下次自动登录{url:/nForum/slist.json?uid=guest&root=list-section}{url:/nForum/nlist.json?uid=guest&root=list-section}
贴数:1&分页:莱恩发信人: nel (莱恩), 信区: FPGATech
标&&题: [合集] [求助]面试时被问到的一个问题,至今未想通,烦请大家指
发信站: 水木社区 (Fri Aug 22 17:05:45 2014), 站内 && ☆─────────────────────────────────────☆ &&
hotland (随机神) 于
(Sat Jun 21 05:24:42 2014)
提到: && 原始数据流简单处理一下,保证相同数不要出现在接下来的两个周期,读写ram就没有问题了。另外再引入一个计数器数据流,几个相同数据的地方合并计数。
【 在 fenglifang 的大作中提到: 】
: 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog编程实现。
: 我的思路:当时我的思路是开一个ram,数据位宽是8bit,来一个数,把该数作为地址,读出该地址上的数加1。
: 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: ...................
&&&& ☆─────────────────────────────────────☆ &&
volcano83 (小罗罗) 于
(Sat Jun 21 14:53:57 2014)
提到: && 弄256个寄存器不就可以了,寄存器宽度还可以远大于8位,来了一个数就把相应寄存器里的数加1 &&&& ☆─────────────────────────────────────☆ &&
imlaohe (大龄小青年,奔四无产者) 于
(Sat Jun 21 22:58:30 2014)
提到: && 256个case分支,不知道行不行。。。嘿嘿 &&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sat Jun 21 23:07:06 2014)
提到: && 256个寄存器你用什么逻辑控制谁加1呢?每个DFF你得配俩MUX对吧,太耗了。 && 【 在 volcano83 (小罗罗) 的大作中提到: 】
: 弄256个寄存器不就可以了,寄存器宽度还可以远大于8位,来了一个数就把相应寄存器里的数加1
&&&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sat Jun 21 23:10:00 2014)
提到: && 这就是标准的histogram实现,你用ram是没错的,但你需要一个异步ram而不是同步ram。 && 当初我们在FPGA里实现,为了模拟异步ram,折腾了某位大神两三天,写了个逻辑把同步ram给包起来,只对读写地址相同的情况提供数据短路。 && 这算法做考题的确是个大坑,除非干过,否则能想多远真是没谱。 && 【 在 fenglifang (仰光) 的大作中提到: 】
: 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog编程实现。
: 我的思路:当时我的思路是开一个ram,地址线是8根,来一个数,把该数作为地址,读出该地址上的数加1。
: 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
volcano83 (小罗罗) 于
(Sat Jun 21 23:22:55 2014)
提到: &&&& 【 在 Immajia 的大作中提到: 】
: 这个你只能用0度时钟来读寄存器,180度时钟写寄存器,否则还是会出现相同数据连续RMW的问题的。你画一下时序图就想明白了,刚加完的数不能在下一周期就出现在寄存器的Q上对吧?
: 而且256个寄存器你用什么逻辑控制谁加1呢?每个DFF你得配俩MUX对吧,太耗了。
你说得对,具体操作还需要很多细节,只是我觉得我这个方案比楼主的BLABLA...貌似还是好一些,于是提出这个方案。 &&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sat Jun 21 23:26:59 2014)
提到: && 这个算法最要命的事情是时序而不是寻址 && 【 在 volcano83 (小罗罗) 的大作中提到: 】
: 你说得对,具体操作还需要很多细节,只是我觉得我这个方案比楼主的BLABLA...貌似还是好一些,于是提出这个方案。
&&&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sat Jun 21 23:37:22 2014)
提到: && 我想了一下,第一段对于时序的问题我说错了,也就是说这个方法是可行的。 && 但后面对于资源消耗的问题应该是没说错。。。 && 【 在 volcano83 (小罗罗) 的大作中提到: 】
: 你说得对,具体操作还需要很多细节,只是我觉得我这个方案比楼主的BLABLA...貌似还是好一些,于是提出这个方案。
&&&&&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Jun 22 11:08:11 2014)
提到: && 看了你的贴,我又把n年前的设计翻出来看了看。 && ram读出,加1再写入,这个是最基本得没法回避。 && 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
来降低复杂度),而不是用复杂的逻辑来解决问题。 && 我的方法如下: && 因为算法模块之间有fifo缓冲(一行),所以读出fifo时控制一下,变成一个有效数据
跟一个空周期。然后的dpram逻辑就是最基本的思路。 &&&&&&&& 【 在 Immajia (库卡) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Sat Jun 21 23:10:00 2014), 站内
: 这就是标准的histogram实现,你用ram是没错的,但你需要一个异步ram而不是同步ram。
: 当初我们在FPGA里实现,为了模拟异步ram,折腾了某位大神两三天,写了个逻辑把同步ram给包起来,只对读写地址相同的情况提供数据短路。
: 这算法做考题的确是个大坑,除非干过,否则能想多远真是没谱。
: 【 在 fenglifang (仰光) 的大作中提到: 】
: : 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog编程实现。
: : 我的思路:当时我的思路是开一个ram,地址线是8根,来一个数,把该数作为地址,读出该地址上的数加1。
: : 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: : ...................
: ※ 修改:·Immajia 于 Jun 21 23:26:25 2014 修改本文·[FROM: 124.205.76.*]
: ※ 来源:·水木社区 newsmth.net·[FROM: 124.205.76.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Sun Jun 22 11:26:28 2014)
提到: && 用一个双口Ram
用一个8比特寄存器暂存数据 && 暂存数据和当前输入数据一样就加计数器
计数器默认为一
不一样就写ram,写入的数为之前读出的数和计数器相加 && 【 在 fenglifang 的大作中提到: 】
: 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog编程实现。
: 我的思路:当时我的思路是开一个ram,地址线是8根,来一个数,把该数作为地址,读出该地址上的数加1。
: 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: ...................
&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Jun 22 12:42:21 2014)
提到: && 只要是输出有reg的ram,就不行。这个是讨论基础。 &&&&&&&& 【 在 iyama (:-) 的大作中提到: 】
: 用一个双口Ram
: 用一个8比特寄存器暂存数据
: 暂存数据和当前输入数据一样就加计数器
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Sun Jun 22 13:13:18 2014)
提到: && 这是个典型问题。我对不同的应用做过两个不同的方案。
CAM+AsyncRAM。
CAM负责对异步RAM寻址。异步RAM负责累加。加法在FPGA里完成。
这个方案跑不快,优点是容量大,比纯FPGA实现的方案容量大得多。
而且还可扩展。
用现成的CAM可以做到80bit输入数据的统计。统计的样本总数只
受异步RAM容量的限制。
扩展包括CAM级联和异步RAM并联。对uS级应用来说,可以实现非常
大量的统计。 && 方案二:
这个方案实际上是用FPGA做了一个DPO处理器。
刚才把设计翻出来看了一下,急切间看不太懂(时间太长了)。
大概意思跟你们讨论的差不多,用输入数据做地址,然后
折腾RAM里的内容。通过并联扩展和多路切换来降低时序要求。
大体上看,是通过不同粒度的并联实现逐级降速,来满足
总带宽的要求。
具体方案很复杂,当年我自己画的图,现在都看不太懂了。 && 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: 看了你的贴,我又把n年前的设计翻出来看了看。
: ram读出,加1再写入,这个是最基本得没法回避。
: 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Jun 22 13:27:07 2014)
提到: &&&& 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 标&&题: 这玩意儿我用CAM和BRAM都实现过
: 发信站: 水木社区 (Sun Jun 22 13:13:18 2014), 站内
: 这是个典型问题。我对不同的应用做过两个不同的方案。
: 方案一:
: CAM+AsyncRAM。
: CAM负责对异步RAM寻址。异步RAM负责累加。加法在FPGA里完成。
: 这个方案跑不快,优点是容量大,比纯FPGA实现的方案容量大得多。
: 而且还可扩展。
: 用现成的CAM可以做到80bit输入数据的统计。统计的样本总数只
: 受异步RAM容量的限制。
: 扩展包括CAM级联和异步RAM并联。对uS级应用来说,可以实现非常
: 大量的统计。
&& 对于[0,255]的统计,用不上cam吧,太复杂了 && :&&
: 方案二:
: 这个方案实际上是用FPGA做了一个DPO处理器。
: 刚才把设计翻出来看了一下,急切间看不太懂(时间太长了)。
: 大概意思跟你们讨论的差不多,用输入数据做地址,然后
: 折腾RAM里的内容。通过并联扩展和多路切换来降低时序要求。
: 大体上看,是通过不同粒度的并联实现逐级降速,来满足
: 总带宽的要求。
: 具体方案很复杂,当年我自己画的图,现在都看不太懂了。
&& 我翻书柜中的笔记,加上看程序才弄明白。
: 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: : 看了你的贴,我又把n年前的设计翻出来看了看。
: : ram读出,加1再写入,这个是最基本得没法回避。
: : 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
: : ...................
: ※ 来源:·水木社区 newsmth.net·[FROM: 114.249.222.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Sun Jun 22 13:29:05 2014)
提到: &&&& 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: 标&&题: Re: 这玩意儿我用CAM和BRAM都实现过
: 发信站: 水木社区 (Sun Jun 22 13:27:07 2014), 站内
: 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: : 标&&题: 这玩意儿我用CAM和BRAM都实现过
: : 发信站: 水木社区 (Sun Jun 22 13:13:18 2014), 站内
: : 这是个典型问题。我对不同的应用做过两个不同的方案。
: : 方案一:
: : CAM+AsyncRAM。
: : CAM负责对异步RAM寻址。异步RAM负责累加。加法在FPGA里完成。
: : 这个方案跑不快,优点是容量大,比纯FPGA实现的方案容量大得多。
: : 而且还可扩展。
: : 用现成的CAM可以做到80bit输入数据的统计。统计的样本总数只
: : 受异步RAM容量的限制。
: : 扩展包括CAM级联和异步RAM并联。对uS级应用来说,可以实现非常
: : 大量的统计。
:&& 对于[0,255]的统计,用不上cam吧,太复杂了
恩,肯定用不上。不划算。
我做的都是64bit以上的统计,而且样本量超大。 && :&&
: : 方案二:
: : 这个方案实际上是用FPGA做了一个DPO处理器。
: : 刚才把设计翻出来看了一下,急切间看不太懂(时间太长了)。
: : 大概意思跟你们讨论的差不多,用输入数据做地址,然后
: : 折腾RAM里的内容。通过并联扩展和多路切换来降低时序要求。
: : 大体上看,是通过不同粒度的并联实现逐级降速,来满足
: : 总带宽的要求。
: : 具体方案很复杂,当年我自己画的图,现在都看不太懂了。
:&& 我翻书柜中的笔记,加上看程序才弄明白。
: : 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: : : 看了你的贴,我又把n年前的设计翻出来看了看。
: : : ram读出,加1再写入,这个是最基本得没法回避。
: : : 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
: : : ...................
: : ※ 来源:·水木社区 newsmth.net·[FROM: 114.249.222.*]
: ※ 来源:·水木社区 newsmth.net·[FROM: 119.53.126.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sun Jun 22 14:39:41 2014)
提到: && 嗯,这个好。我们没有2x时钟,没法这么搞啊 && 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: 看了你的贴,我又把n年前的设计翻出来看了看。
: ram读出,加1再写入,这个是最基本得没法回避。
: 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Sun Jun 22 14:49:43 2014)
提到: && ~clk驱动。 && 频率不高的话也凑合用了。 && 【 在 Immajia (库卡) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Sun Jun 22 14:39:41 2014), 站内
: 嗯,这个好。我们没有2x时钟,没法这么搞啊
: 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: : 看了你的贴,我又把n年前的设计翻出来看了看。
: : ram读出,加1再写入,这个是最基本得没法回避。
: : 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
: : ...................
: ※ 来源:·水木社区 newsmth.net·[FROM: 111.196.101.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Jun 22 14:54:15 2014)
提到: && 搞搞头脑风暴,应该能想出办法。 &&&& 【 在 Immajia (库卡) 的大作中提到: 】
: 嗯,这个好。我们没有2x时钟,没法这么搞啊
&&&&&&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Sun Jun 22 16:05:57 2014)
提到: && 为什么不行?晚一拍写不行?
有些人就是想当然,用一个双口ram,完全可以。
双口ram就是一个口写,一个口读。读口数据在读地址下一拍出来那种。 &&&& 【 在 oBigeyes 的大作中提到: 】
: 只要是输出有reg的ram,就不行。这个是讨论基础。
: &&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sun Jun 22 17:21:05 2014)
提到: && 谁想当然了,oBigeyes、report和我都是实现过这个算法的人。。。 && 【 在 iyama (:-) 的大作中提到: 】
: 为什么不行?晚一拍写不行?
: 有些人就是想当然,用一个双口ram,完全可以。
: 双口ram就是一个口写,一个口读。读口数据在读地址下一拍出来那种。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Sun Jun 22 17:26:17 2014)
提到: && oBigeyes (雾里看花), 信区: FPGATech
标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
发信站: 水木社区 (Sun Jun 22 12:42:21 2014), 站内 && 只要是输出有reg的ram,就不行。这个是讨论基础。 && 我是说这句话想当然 &&&& 这个东西有什么难度,扯不上算法。
我也可以把代码写出来给你看。分分钟的事 && 【 在 Immajia (库卡) 的大作中提到: 】
: 谁想当然了,oBigeyes、report和我都是实现过这个算法的人。。。
&&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Sun Jun 22 17:30:42 2014)
提到: && 你的思路是对的啊
数据缓存一个
可以用带寄存器输出的的dp ram
如果数据相同就一直等,不写。一个计数器加一
等到数据不同才写就可以了 && 【 在 fenglifang (仰光) 的大作中提到: 】
: 不能保证相同数不连续出现,实际应用环境这种情况也是存在的,输入数据流不缓存,
处理数据流的话会破坏数据流方式。
: 相同数据的地方合并计数可行:有这样一种思路,对于输入数据流din和延时
din_d1,din_d1作为ram的写地址线,让din作为ram的读地址线,读出ram中的值drpo,若
din和din_d1相同,则对din_d1地址上的数据写入drpo+2,否则drpo+1.
: 这种方案要求ram的结构必须满足:ram为simple dual port ram,地址端一旦赋值,输
出端即为该地址上的值。这在Xilinx IP core 的Distributed Ram中可以设置输出是non&& registered。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sun Jun 22 17:40:33 2014)
提到: && 嗯我昨晚回了一个用~clk读、用clk写的帖子,后来因为别的地方想错了,让我自d了=。= && 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: ~clk驱动。
: 频率不高的话也凑合用了。
&&&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sun Jun 22 17:43:57 2014)
提到: && 我总觉得好像哪里不对,脑袋里在构造一个case来击败你这个算法。但年纪大了,脑袋里放不下超过三拍的时序图。。。 && 【 在 iyama (:-) 的大作中提到: 】
: 你的思路是对的啊
: 数据缓存一个
: 可以用带寄存器输出的的dp ram
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Sun Jun 22 17:52:18 2014)
提到: && 我就是顺着楼主的思路,稍微改变一下,就能解决
别把楼主带沟里去啊 && 【 在 Immajia (库卡) 的大作中提到: 】
: 我总觉得好像哪里不对,脑袋里在构造一个case来击败你这个算法。但年纪大了,脑袋
里放不下超过三拍的时序图。。。 &&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sun Jun 22 18:12:17 2014)
提到: && 画了一下,顺便人肉仿真了4拍,似乎是对的 && 试着插入了三个DFF,后来发现都不需要,直连就行 && 【 在 iyama (:-) 的大作中提到: 】
: 我就是顺着楼主的思路,稍微改变一下,就能解决
: 别把楼主带沟里去啊
: 里放不下超过三拍的时序图。。。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sun Jun 22 18:18:08 2014)
提到: && 我忽然发现,你这个和我说的“相同地址的话内容show ahead”不是一回事儿么,只是你这个是在ram前思考,我那个是在ram后思考。一个提前一拍累加,一个提前一拍读。 && @report 老师的~clk方法才是最简单的实现。 && 另外我就是个FPGA初学者,不要拍我 && 【 在 Immajia (库卡) 的大作中提到: 】
: 画了一下,顺便人肉仿真了4拍,似乎是对的
: 试着插入了三个DFF,后来发现都不需要,直连就行
&&&&&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Jun 22 19:01:04 2014)
提到: && 是的,~clk最简单。带来的问题是多个时钟域。 &&&&&& 【 在 Immajia (库卡) 的大作中提到: 】
: 我忽然发现,你这个和我说的“相同地址的话内容show ahead”不是一回事儿么,只是你这个是在ram前思考,我那个是在ram后思考。一个提前一拍累加,一个提前一拍读。
: @report 老师的~clk方法才是最简单的实现。
: 另外我就是个FPGA初学者,不要拍我
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Sun Jun 22 19:18:16 2014)
提到: && 初学者表示不懂啊~ && 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: 是的,~clk最简单。带来的问题是多个时钟域。
&&&&&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Jun 22 19:26:48 2014)
提到: && 你太会装了啊, && 本版每隔几天就要讨论一下跨时钟域 &&&& 【 在 Immajia (库卡) 的大作中提到: 】
: 初学者表示不懂啊~
&&&&&&&& ☆─────────────────────────────────────☆ &&
Dafeie (牛) 于
(Mon Jun 23 05:37:56 2014)
提到: && 想到两个办法 && 一,可以使用dp,写入数据之前判断当前数据是不是和写入的一样,如果是接着累加,不是就写入 && 二,用两个dp,乒乓操作,根据输出latency不同,读第一个可以写入第一个或第二个ram,最后把累计一块。 && 【 在 fenglifang 的大作中提到: 】
: 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog编程实现。
: 我的思路:当时我的思路是开一个ram,地址线是8根,来一个数,把该数作为地址,读出该地址上的数加1。
: 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: ...................
&&&& ☆─────────────────────────────────────☆ &&
sillycat022 (正在考古中……) 于
(Mon Jun 23 07:44:44 2014)
提到: && 针对面试题目,单口ram用2x clk或者双口ram用1x clk都是可以的。因为对于输入时钟来说每数据主要的操作是读和写。地址产生和自加都可以组合逻辑。但是实际使用中不可能统计出来就完了,还需要接口读出统计结果。如果读出时机和数据输入时机无法错开的话,双端ram也要用2x clk了。如果没猜错这个应该是图像视频处理中的直方图统计,一般pixclk的两倍频总会有吧。
【 在 iyama 的大作中提到: 】
: 你的思路是对的啊
: 数据缓存一个
: 可以用带寄存器输出的的dp ram
: ...................
&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Mon Jun 23 08:41:02 2014)
提到: &&&& 【 在 Dafeie (牛) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导下。
: 发信站: 水木社区 (Mon Jun 23 05:37:56 2014), 站内
: 想到两个办法
: 一,可以使用dp,写入数据之前判断当前数据是不是和写入的一样,如果是接着累加,不是就写入
&&~~~~~~~~~~~~~我最早就是用dp啊,至少altera的clock dp无法这么操作
: 二,用两个dp,乒乓操作,根据输出latency不同,读第一个可以写入第一个或第二个ram,最后把累计一块。
&&~~~~~~~~~~~~~~~~~~应该可行,但是两个表的代价有点大
: 【 在 fenglifang 的大作中提到: 】
: : 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog编程实现。
: : 我的思路:当时我的思路是开一个ram,地址线是8根,来一个数,把该数作为地址,读出该地址上的数加1。
: : 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: : ...................
: &&&&&&/\︵/\ - /\︵/\&&&&
:    (=~∶~=) (=^∶^=)&&
:    (~)︾(~) (~)︾(~)&&
:    (~)凹(~) (~)凸(~)&&
:&&&&&&&&&&&&&&&&&&&&&&&&&&
: &&&&&&&&&&&&&&
: ※ 来源:·水木社区 ·[FROM: 119.90.46.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
sillycat022 (正在考古中……) 于
(Mon Jun 23 08:57:48 2014)
提到: && 只要有两个地址和数据口的都没问题吧。din产生读地址,din的一个时钟延迟产生写地址。读出端数据口加一后送往写入端数据口,这样会有问题吗?
【 在 oBigeyes 的大作中提到: 】
:&&~~~~~~~~~~~~~我最早就是用dp啊,至少altera的clock dp无法这么操作
:&&~~~~~~~~~~~~~~~~~~应该可行,但是两个表的代价有点大
: &&&& ☆─────────────────────────────────────☆ &&
sillycat022 (正在考古中……) 于
(Mon Jun 23 09:14:13 2014)
提到: && 只要每个输入数据可以提供一次读出和一次写回操作就可以了。有没有reg不影响。有reg就把写回延后一周期,否则就在当前周期。
【 在 oBigeyes 的大作中提到: 】
: 只要是输出有reg的ram,就不行。这个是讨论基础。
: &&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Mon Jun 23 09:35:43 2014)
提到: && 不知道有没有一写多读的 Ram&& 没有的话用寄存器搭 && 【 在 sillycat022 的大作中提到: 】
: 针对面试题目,单口ram用2x clk或者双口ram用1x clk都是可以的。因为对于输入时钟来说每数据主要的操作是读和写。地址产生和自加都可以组合逻辑。但是实际使用中不可能统计出来就完了,还需要接口读出统计结果。如果读出时机和数据输入时机无法错开的话,双端ram也要用2x clk了。如果没猜错这个应该是图像视频处理中的直方图统计,一般pixclk的两倍频总会有吧。
&&&& ☆─────────────────────────────────────☆ &&
nel (莱恩) 于
(Mon Jun 23 10:20:12 2014)
提到: && 连续几笔同值数据来的时候比较麻烦 && 看起来大家讨论的难点就是能不能在下一笔数据来之前的,把对应的address更新,例如不带数据/地址锁存的ram和~clk
【 在 sillycat022 (正在考古中……) 的大作中提到: 】
: 只要每个输入数据可以提供一次读出和一次写回操作就可以了。有没有reg不影响。有reg就把写回延后一周期,否则就在当前周期。
&&&&&&&& ☆─────────────────────────────────────☆ &&
Jephen (Jephen) 于
(Mon Jun 23 10:30:28 2014)
提到: && 做边缘检测的时候实现过这功能,用读取不加reg的DPRAM。 &&&& ☆─────────────────────────────────────☆ &&
maoxia (造反派) 于
(Mon Jun 23 10:56:22 2014)
提到: && 用双口ram
输入:&&&&N&& N+1&&N+2
读:&&&&&&N-1 N&&&&N+1
输入寄存:N-1 N&&&&N+1
写:&&&&&&N-2 N-1&&N
写数据=读数据+1 && 【 在 fenglifang (仰光) 的大作中提到: 】
: 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog编程实现。
: 我的思路:当时我的思路是开一个ram,地址线是8根,来一个数,把该数作为地址,读出该地址上的数加1。
: 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
juking (juking) 于
(Mon Jun 23 13:05:08 2014)
提到: && 提供个思路,分两步来做
1.用Reg做缓存解除数据相关性(局部计数)
2.把局部计数的结果写入Memory && 好处是不依赖Memory时序,缺点是做0~255的可能有点不值当 &&&& ☆─────────────────────────────────────☆ &&
Dafeie (牛) 于
(Mon Jun 23 13:05:40 2014)
提到: && 没有问题的。 && 【 在 sillycat022 的大作中提到: 】
: 只要有两个地址和数据口的都没问题吧。din产生读地址,din的一个时钟延迟产生写地址。读出端数据口加一后送往写入端数据口,这样会有问题吗?
&&&& ☆─────────────────────────────────────☆ &&
Dafeie (牛) 于
(Mon Jun 23 13:07:00 2014)
提到: && 应该可以,只要读写不同时针对一个单元 && 【 在 oBigeyes 的大作中提到: 】
: ~~~~~~~~~~~~~我最早就是用dp啊,至少altera的clock dp无法这么操作
: ~~~~~~~~~~~~~~~~~~应该可行,但是两个表的代价有点大
: &&&& ☆─────────────────────────────────────☆ &&
daxuelangren (daxuelangren) 于
(Mon Jun 23 14:02:51 2014)
提到: && 明明就是256个8bit并行的常数比较器,很容易分成group。比较的结果控制各自对应的256个计数器+1,256个寄存器串连,一个bit控制就可以把结果读出来。哪里有你说的巨大的mux。 && 【 在 Immajia 的大作中提到: 】
: 256个寄存器你用什么逻辑控制谁加1呢?每个DFF你得配俩MUX对吧,太耗了。
:&& &&&&&& ☆─────────────────────────────────────☆ &&
icsoc (i cAN sLEEP oN cHAIR) 于
(Mon Jun 23 14:18:21 2014)
提到: && 这种平铺设计,要挑个刺的话:输入的data bus的fanout太大,不利于高速输入的情况。 && 当然我个人觉得这是比较合理的,硬件思维的设计。
用ram设计的思路太软了。 &&&& 【 在 daxuelangren (daxuelangren) 的大作中提到: 】
: 明明就是256个8bit并行的常数比较器,很容易分成group。比较的结果控制各自对应的256个计数器+1,256个寄存器串连,一个bit控制就可以把结果读出来。哪里有你说的巨大的mux。
&&&&&&&& ☆─────────────────────────────────────☆ &&
daxuelangren (daxuelangren) 于
(Mon Jun 23 14:39:53 2014)
提到: && 如果把一个比较器+计数器看成是一个unit的话,256个unit串行也是可以的,能保证流水,吞吐率和高速输入都能保证,只是单个数据的延迟略大。选择其他的折中,比如4条64个unit串行的链。。。这样应该能缓解fanout问题。 &&&& 【 在 icsoc 的大作中提到: 】
: 这种平铺设计,要挑个刺的话:输入的data bus的fanout太大,不利于高速输入的情况。
: 当然我个人觉得这是比较合理的,硬件思维的设计。
: 用ram设计的思路太软了。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
sillycat022 (正在考古中……) 于
(Mon Jun 23 15:16:16 2014)
提到: && reg真用不起的吧,VGA大小的图像像素个数为640x480,要数下来就得19位,255阶就快5000个了啊…
【 在 icsoc 的大作中提到: 】
: 这种平铺设计,要挑个刺的话:输入的data bus的fanout太大,不利于高速输入的情况。
: 当然我个人觉得这是比较合理的,硬件思维的设计。
: 用ram设计的思路太软了。
: ...................
&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Mon Jun 23 16:49:31 2014)
提到: && 所以,某些人完全脱离工程实践了 &&&&&& 【 在 sillycat022 (正在考古中……) 的大作中提到: 】
: reg真用不起的吧,VGA大小的图像像素个数为640x480,要数下来就得19位,255阶就快5000个了啊…
&&&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Mon Jun 23 16:58:29 2014)
提到: && 你在硬件里用DFF实现一个寄存器,怎么控制它自己hold原值还是加一?得用一个MUX把自己的输出绕回来吧?? && 【 在 daxuelangren (daxuelangren) 的大作中提到: 】
: 明明就是256个8bit并行的常数比较器,很容易分成group。比较的结果控制各自对应的256个计数器+1,256个寄存器串连,一个bit控制就可以把结果读出来。哪里有你说的巨大的mux。
&&&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Mon Jun 23 16:59:05 2014)
提到: && FPGA里用ram很正常,你做ASIC的话当然没这个苦恼了。资源配比情况不一样。 && 【 在 icsoc (i cAN sLEEP oN cHAIR) 的大作中提到: 】
: 这种平铺设计,要挑个刺的话:输入的data bus的fanout太大,不利于高速输入的情况。
: 当然我个人觉得这是比较合理的,硬件思维的设计。
: 用ram设计的思路太软了。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
fenglifang (仰光) 于
(Mon Jun 23 17:56:49 2014)
提到: &&&& 【 在 iyama 的大作中提到: 】
: 我就是顺着楼主的思路,稍微改变一下,就能解决
: 别把楼主带沟里去啊
: 里放不下超过三拍的时序图。。。
&&&& 这个思路确实回来做图像处理中直方图统计模块在FPGA上实现了。 && 谢谢指导。 &&&& ☆─────────────────────────────────────☆ &&
fenglifang (仰光) 于
(Mon Jun 23 18:02:46 2014)
提到: &&&& 【 在 Dafeie 的大作中提到: 】
: 想到两个办法
: 一,可以使用dp,写入数据之前判断当前数据是不是和写入的一样,如果是接着累加,不是就写入
: 二,用两个dp,乒乓操作,根据输出latency不同,读第一个可以写入第一个或第二个ram,最后把累计一块。
&&&&&& 乒乓操作思路真好,就是乒乓操作控制感觉复杂了一点,不过可行。谢谢指导。 &&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Mon Jun 23 20:30:09 2014)
提到: && if (data ==0) && reg0 &= reg0+1; && if (data ==1) && reg1 &= reg1+1; && if (data ==2) && reg2 &= reg2+1; && ....
加法器和寄存器用的有点多
相等的判断也得消耗不少资源
【 在 fenglifang (仰光) 的大作中提到: 】
: 当时我想,上升沿触发,reg[din_d1]&=reg[din]+1;不需要mux,可能寄存器资源耗
的有点多。但实际发现寄存器组的index不能使变量,只能用case,这样的话不仅代码
写起来不方便,资源也耗的有点多,所以这种方案不行了。
: 谢谢指导。
&&&&&& ☆─────────────────────────────────────☆ &&
iyama (:-) 于
(Mon Jun 23 20:32:36 2014)
提到: && 负沿读
和2xclk是一样的效果
【 在 fenglifang (仰光) 的大作中提到: 】
: 想问下,你们说的~clk是哪种方法???
&&&&&&&& ☆─────────────────────────────────────☆ &&
EuroPad (沉默作为回答) 于
(Mon Jun 23 21:09:34 2014)
提到: &&&&&&&&&&&& haha... 2老师。。。 && 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 标&&题: 这玩意儿我用CAM和BRAM都实现过
: 发信站: 水木社区 (Sun Jun 22 13:13:18 2014), 站内
: 这是个典型问题。我对不同的应用做过两个不同的方案。
: 方案一:
: CAM+AsyncRAM。
: CAM负责对异步RAM寻址。异步RAM负责累加。加法在FPGA里完成。
: 这个方案跑不快,优点是容量大,比纯FPGA实现的方案容量大得多。
: 而且还可扩展。
: 用现成的CAM可以做到80bit输入数据的统计。统计的样本总数只
: 受异步RAM容量的限制。
: 扩展包括CAM级联和异步RAM并联。对uS级应用来说,可以实现非常
: 大量的统计。
: 方案二:
: 这个方案实际上是用FPGA做了一个DPO处理器。
: 刚才把设计翻出来看了一下,急切间看不太懂(时间太长了)。
: 大概意思跟你们讨论的差不多,用输入数据做地址,然后
: 折腾RAM里的内容。通过并联扩展和多路切换来降低时序要求。
: 大体上看,是通过不同粒度的并联实现逐级降速,来满足
: 总带宽的要求。
: 具体方案很复杂,当年我自己画的图,现在都看不太懂了。
: 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: : 看了你的贴,我又把n年前的设计翻出来看了看。
: : ram读出,加1再写入,这个是最基本得没法回避。
: : 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
: : ...................
: ※ 来源:·水木社区 newsmth.net·[FROM: 114.249.222.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
Dafeie (牛) 于
(Mon Jun 23 22:10:16 2014)
提到: && 要是10Mp,12bit就爽翻了 && 【 在 sillycat022 的大作中提到: 】
: reg真用不起的吧,VGA大小的图像像素个数为640x480,要数下来就得19位,255阶就快5000个了啊…
&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Tue Jun 24 07:32:02 2014)
提到: && 呵呵 && 【 在 EuroPad (沉默作为回答) 的大作中提到: 】
: 标&&题: Re: 这玩意儿我用CAM和BRAM都实现过
: 发信站: 水木社区 (Mon Jun 23 21:09:34 2014), 站内
:&&&&&&&& haha... 2老师。。。
: 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: : 标&&题: 这玩意儿我用CAM和BRAM都实现过
: : 发信站: 水木社区 (Sun Jun 22 13:13:18 2014), 站内
: : 这是个典型问题。我对不同的应用做过两个不同的方案。
: : 方案一:
: : CAM+AsyncRAM。
: : CAM负责对异步RAM寻址。异步RAM负责累加。加法在FPGA里完成。
: : 这个方案跑不快,优点是容量大,比纯FPGA实现的方案容量大得多。
: : 而且还可扩展。
: : 用现成的CAM可以做到80bit输入数据的统计。统计的样本总数只
: : 受异步RAM容量的限制。
: : 扩展包括CAM级联和异步RAM并联。对uS级应用来说,可以实现非常
: : 大量的统计。
: : 方案二:
: : 这个方案实际上是用FPGA做了一个DPO处理器。
: : 刚才把设计翻出来看了一下,急切间看不太懂(时间太长了)。
: : 大概意思跟你们讨论的差不多,用输入数据做地址,然后
: : 折腾RAM里的内容。通过并联扩展和多路切换来降低时序要求。
: : 大体上看,是通过不同粒度的并联实现逐级降速,来满足
: : 总带宽的要求。
: : 具体方案很复杂,当年我自己画的图,现在都看不太懂了。
: : 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: : : 看了你的贴,我又把n年前的设计翻出来看了看。
: : : ram读出,加1再写入,这个是最基本得没法回避。
: : : 但是我认为你这种搞法把问题复杂化了。应该想办法把问题简化(用资源、周期的增加
: : : ...................
: : ※ 来源:·水木社区 newsmth.net·[FROM: 114.249.222.*]
: 嫁给电子工程师的好处:
: 1.电子工程师待在研究室的时间比在家里多,所以嫁给他以后还是很自由。&&
: 2.电子工程师口才太差根本讲不过你,所以你可以尽情用言辞凌辱他。&&
: 3.电子工程师最讲求"逻辑",所以你有理的话他服你;他如果有理,你就不要跟他讲理,他对你一点办法也没有。&&
: 4.电子工程师薪水不错,自己不会娱乐不怎么花钱也很少女人花他钱,所以你可以大大方方的花他钱,并且告诉他这是促进经济繁荣,他做出来的东西才有人买。&&
: 5.电子工程师除了以上之外毫无魅力可言,所以嫁给他不用担心他有外遇
: ※ 来源:·水木社区 newsmth.net·[FROM: 166.111.223.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Tue Jun 24 07:34:22 2014)
提到: && 呵呵,用8:256译码器驱动256个计数器是最简单,最直接的方案。
我当初否掉这个方案的原因就是:太特么浪费资源了啊! && 【 在 Immajia (库卡) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Mon Jun 23 16:58:29 2014), 站内
: 你在硬件里用DFF实现一个寄存器,怎么控制它自己hold原值还是加一?得用一个MUX把自己的输出绕回来吧??
: 【 在 daxuelangren (daxuelangren) 的大作中提到: 】
: : 明明就是256个8bit并行的常数比较器,很容易分成group。比较的结果控制各自对应的256个计数器+1,256个寄存器串连,一个bit控制就可以把结果读出来。哪里有你说的巨大的mux。
: ※ 来源:·水木社区 newsmth.net·[FROM: 124.205.76.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Tue Jun 24 19:40:23 2014)
提到: && asic还真无所谓。。。 && 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 呵呵,用8:256译码器驱动256个计数器是最简单,最直接的方案。
: 我当初否掉这个方案的原因就是:太特么浪费资源了啊!
&&&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Wed Jun 25 08:32:19 2014)
提到: && 无所谓个毛啊,你算算功耗。
我前面说的方案1,当初一算功耗差点把我吓死。 && 【 在 Immajia (库卡) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Tue Jun 24 19:40:23 2014), 站内
: asic还真无所谓。。。
: 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: : 呵呵,用8:256译码器驱动256个计数器是最简单,最直接的方案。
: : 我当初否掉这个方案的原因就是:太特么浪费资源了啊!
: ※ 来源:·水木社区 newsmth.net·[FROM: 124.205.77.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
tsingyuanlee (tsingyuanlee) 于
(Fri Jul 18 18:11:03 2014)
提到: &&&& 【 在 report 的大作中提到: 】
: 无所谓个毛啊,你算算功耗。
: 我前面说的方案1,当初一算功耗差点把我吓死。
: &&&&&& 请问如何计算功耗? &&&& ☆─────────────────────────────────────☆ &&
knut (City of Ember) 于
(Sat Jul 26 11:48:28 2014)
提到: && 同问 如何从rtl看出功耗的。。。
【 在 report 的大作中提到: 】
: 无所谓个毛啊,你算算功耗。
: 我前面说的方案1,当初一算功耗差点把我吓死。
: &&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Sat Jul 26 22:18:49 2014)
提到: && 方案1哪儿来的RTL? && 【 在 knut (City of Ember) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Sat Jul 26 11:48:28 2014), 站内
: 同问 如何从rtl看出功耗的。。。
: 【 在 report 的大作中提到: 】
: : 无所谓个毛啊,你算算功耗。
: : 我前面说的方案1,当初一算功耗差点把我吓死。
: ※ 来源:·水木社区 ·[FROM: 140.206.255.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
sampan (sampan) 于
(Wed Aug&&6 15:46:30 2014)
提到: &&&&&&&& ☆─────────────────────────────────────☆ &&
Rome888 (寻秦) 于
(Wed Aug 13 14:42:37 2014)
提到: && 定义一个256*8的数组就搞定了,输入数据做地址就可以,单周期操作 &&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Wed Aug 13 15:21:15 2014)
提到: && 您真牛,一句话就代替了版上众多人的几十篇帖子。 &&&&&&&&&& 【 在 Rome888 (寻秦) 的大作中提到: 】
: 定义一个256*8的数组就搞定了,输入数据做地址就可以,单周期操作
&&&&&&&& ☆─────────────────────────────────────☆ &&
knut (City of Ember) 于
(Thu Aug 14 08:20:55 2014)
提到: && 14楼|report| 13:03:33|展开
回复|发信|转寄
这是个典型问题。我对不同的应用做过两个不同的方案。
CAM+AsyncRAM。
CAM负责对异步RAM寻址。异步RAM负责累加。加法在FPGA里完成。
这个方案跑不快,优点是容量大,比纯FPGA实现的方案容量大得多。
而且还可扩展。
用现成的CAM可以做到80bit输入数据的统计。统计的样本总数只
受异步RAM容量的限制。
扩展包括CAM级联和异步RAM并联。对uS级应用来说,可以实现非常
大量的统计。 && //就是这个。。。功耗是怎么算出来的。。。 && 【 在 report 的大作中提到: 】
: 方案1哪儿来的RTL?
: &&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Thu Aug 14 08:38:11 2014)
提到: &&&& 【 在 knut (City of Ember) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Thu Aug 14 08:20:55 2014), 站内
: 14楼|report| 13:03:33|展开
: 回复|发信|转寄
: 这是个典型问题。我对不同的应用做过两个不同的方案。
: 方案一:
: CAM+AsyncRAM。
CAM:&&&&&&&&&&&&MH2080,&&8kx64bit,&&Ave Power Supply Current 470mA, Vcc 3.3V
AsyncRAM:&&&&&& IS63LVkx8,Operating Supply Current&&160mA,Vcc 3.3V
: CAM负责对异步RAM寻址。异步RAM负责累加。加法在FPGA里完成。
: 这个方案跑不快,优点是容量大,比纯FPGA实现的方案容量大得多。
: 而且还可扩展。
: 用现成的CAM可以做到80bit输入数据的统计。统计的样本总数只
: 受异步RAM容量的限制。
: 扩展包括CAM级联和异步RAM并联。对uS级应用来说,可以实现非常
: 大量的统计。
: //就是这个。。。功耗是怎么算出来的。。。
现在会算了吧?
哪儿来的RTL…… -_-b
: 【 在 report 的大作中提到: 】
: : 方案1哪儿来的RTL?
: ※ 来源:·水木社区 ·[FROM: 101.86.81.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
knut (City of Ember) 于
(Thu Aug 14 08:40:52 2014)
提到: && 懂了= =
【 在 report 的大作中提到: 】
: CAM:&&&&&&&&&&&&MH2080,&&8kx64bit,&&Ave Power Supply Current 470mA, Vcc 3.3V
: AsyncRAM:&&&&&& IS63LVkx8,Operating Supply Current&&160mA,Vcc 3.3V
: 现在会算了吧?
: ...................
&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Thu Aug 14 08:41:06 2014)
提到: && 嗯,听君一句话,白读十年书…… && 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Wed Aug 13 15:21:15 2014), 站内
: 您真牛,一句话就代替了版上众多人的几十篇帖子。
: 【 在 Rome888 (寻秦) 的大作中提到: 】
: : 定义一个256*8的数组就搞定了,输入数据做地址就可以,单周期操作
: ※ 修改:·oBigeyes 于 Aug 13 15:21:46 2014 修改本文·[FROM: 119.53.124.*]
: ※ 来源:·水木社区 newsmth.net·[FROM: 119.53.124.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Thu Aug 14 08:41:16 2014)
提到: && //:D && 【 在 knut (City of Ember) 的大作中提到: 】
&&&&&&&& ☆─────────────────────────────────────☆ &&
Rome888 (寻秦) 于
(Thu Aug 14 13:45:29 2014)
提到: && 没太听明白,是粉刺我吗?
趁着午休贴个代码大家看看 && -------------------------------------------------------------------------------
-- Title&&&&&&:&& -- Project&&&&:&& -------------------------------------------------------------------------------
-- File&&&&&& : test.vhd
-- Author&&&& :&& &Rome@ROME-PC&
-- Company&&&&:&& -- Created&&&&:
-- Last update:
-- Platform&& :&& -- Standard&& : VHDL'87
-------------------------------------------------------------------------------
-- Description:&& -------------------------------------------------------------------------------
-- Copyright (c) 2014&& -------------------------------------------------------------------------------
-- Revisions&&:
-- Date&&&&&&&&Version&&Author&&Description
-- &&1.0&&&&&&Rome&&&&Created
-------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.
use ieee.std_logic_arith.
use ieee.std_logic_unsigned. && entity test is &&&&&& port ( &&&& clk_i&&&&&&&&: in&&std_ &&&& rst_n_i&&&&&&: in&&std_ &&&& -- data in port &&&& sink_valid_i : in&&std_ &&&& sink_data_i&&: in&&std_logic_vector(7 downto 0); &&&& -- check result port &&&& check_addr_i : in&&std_logic_vector(7 downto 0); &&&& check_data_o : out std_logic_vector(7 downto 0) &&&& ); && && architecture only_test of test is &&&& type&& mat8b256d is array(255 downto 0) && signal result_mat : mat8b256d; &&&& begin&&-- test &&&& process (clk_i, rst_n_i) && begin&&-- process &&&& if rst_n_i = '0' then&&&&&&&&&&&&&& -- asynchronous reset (active low) &&&&&& for i in 0 to 255 loop &&&&&&&& result_mat(i) &= 0; &&&&&&&&-- i &&&& elsif clk_i'event and clk_i = '1' then&&-- rising clock edge &&&&&& if sink_valid_i = '1' then &&&&&&&& result_mat(conv_integer(sink_data_i)) &= result_mat(conv_integer(sink_data_i)) + 1; &&&&&& else &&&&&& for i in 0 to 255 loop &&&&&&&& result_mat(i) &= result_mat(i); &&&&&&&&-- i &&&&&& &&&& && &&&& check_data_o &= conv_std_logic_vector(result_mat(conv_integer(check_addr_i)), 8); &&&& end only_ && 【 在 oBigeyes 的大作中提到: 】
: 您真牛,一句话就代替了版上众多人的几十篇帖子。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
Immajia (库卡) 于
(Thu Aug 14 14:09:33 2014)
提到: && 综合结果怎么样? && 【 在 Rome888 (寻秦) 的大作中提到: 】
: 没太听明白,是粉刺我吗?
: 趁着午休贴个代码大家看看
: -------------------------------------------------------------------------------
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
Rome888 (寻秦) 于
(Thu Aug 14 14:27:40 2014)
提到: && Flow Status&&&&Successful - Thu Aug 14 14:26:36 2014
Quartus II 64-Bit Version&&&&13.0.0 Build 156 04/24/2013 SJ Full Version
Revision Name&&&&test_nco
Top-level Entity Name&&&&test
Family&&&&Cyclone III
Device&&&&EP3C80F780C6
Timing Models&&&&Final
Total logic elements&&&&4,303 / 81,264 ( 5 % )
Total combinational functions&&&&3,008 / 81,264 ( 4 % )
Dedicated logic registers&&&&2,048 / 81,264 ( 3 % )
Total registers&&&&2048
Total pins&&&&27 / 430 ( 6 % )
Total virtual pins&&&&0
Total memory bits&&&&0 / 2,810,880 ( 0 % )
Embedded Multiplier 9-bit elements&&&&0 / 488 ( 0 % )
Total PLLs&&&&0 / 4 ( 0 % ) &&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Thu Aug 14 16:56:54 2014)
提到: && 他那玩意儿在最坏情况下收到256个数就溢出了
最好情况下也就能数64k个数…… && 【 在 Immajia (库卡) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Thu Aug 14 14:09:33 2014), 站内
: 综合结果怎么样?
: 【 在 Rome888 (寻秦) 的大作中提到: 】
: : 没太听明白,是粉刺我吗?
: : 趁着午休贴个代码大家看看
: : -------------------------------------------------------------------------------
: : ...................
: ※ 来源:·水木社区 newsmth.net·[FROM: 123.123.249.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Thu Aug 14 17:46:50 2014)
提到: && 王老师太有耐心了,学习 &&&& 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 他那玩意儿在最坏情况下收到256个数就溢出了
: 最好情况下也就能数64k个数……
&&&&&&&& ☆─────────────────────────────────────☆ &&
Rome888 (寻秦) 于
(Fri Aug 15 12:14:07 2014)
提到: && 想避免溢出把计数器位宽加宽即可,这只是个面试题目的思路而已 && 想工程化可以把需求明确,具体需要统计多少样本
用外部ram一样有这个问题吧?
是我太较真还是情商太低?
不想参与这个版面的回复了 && 【 在 report 的大作中提到: 】
: 他那玩意儿在最坏情况下收到256个数就溢出了
: 最好情况下也就能数64k个数……
: &&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Fri Aug 15 12:21:27 2014)
提到: &&&& 【 在 Rome888 (寻秦) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Fri Aug 15 12:14:07 2014), 站内
: 想避免溢出把计数器位宽加宽即可,这只是个面试题目的思路而已
: 想工程化可以把需求明确,具体需要统计多少样本
: 用外部ram一样有这个问题吧?
: 是我太较真还是情商太低?
其实都不是。只能说你还太嫩。BBS上说话没有不露怯的,别太较真就行。
我也经常让人拍个灰头土脸。当年也是嘴硬不服输,最后越抹越黑。
其实这就是个大家交流技术的地方,偶尔开开玩笑。
既然你愿意牛逼烘烘地跳出来说“不过就是个什么什么……”,
那你的意思就是说,版上是一帮sb在瞎矫情,谁都没你看得清楚?
那就别怪别人嘲笑你了。
我个人觉得,其实都无所谓。这是个技术交流+找乐的地方。装逼遭雷劈,
装纯遭人轮,也就是大家开开玩笑罢了。没必要较真。
等你道行够深的时候,也可以经常出来装一把。
//:D && : 不想参与这个版面的回复了
: 【 在 report 的大作中提到: 】
: : 他那玩意儿在最坏情况下收到256个数就溢出了
: : 最好情况下也就能数64k个数……
: ※ 来源:·水木社区 ·[FROM: 124.65.155.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Fri Aug 15 12:28:20 2014)
提到: && 境界 &&&& 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 其实都不是。只能说你还太嫩。BBS上说话没有不露怯的,别太较真就行。
: 我也经常让人拍个灰头土脸。当年也是嘴硬不服输,最后越抹越黑。
: 其实这就是个大家交流技术的地方,偶尔开开玩笑。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
frankrick (garfield) 于
(Fri Aug 15 13:07:39 2014)
提到: && 遭雷劈 &&&& 【 在 oBigeyes (雾里看花) 的大作中提到: 】
&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Fri Aug 15 13:18:29 2014)
提到: && 来呀 && 【 在 frankrick (garfield) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Fri Aug 15 13:07:39 2014), 站内
: 【 在 oBigeyes (雾里看花) 的大作中提到: 】
: ※ 来源:·水木社区 ·[FROM: 192.203.57.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
frankrick (garfield) 于
(Fri Aug 15 13:43:15 2014)
提到: && 你请客? &&&& 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Fri Aug 15 14:20:38 2014)
提到: && 路费自理 && 【 在 frankrick (garfield) 的大作中提到: 】
: 你请客?
&&&&&&&& ☆─────────────────────────────────────☆ &&
frankrick (garfield) 于
(Fri Aug 15 16:28:21 2014)
提到: && 行啊,呵呵,报销停车费不? &&&& 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 路费自理
&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Sun Aug 17 00:20:11 2014)
提到: && 地下停车凭票报销
路边停车罚单自理
//:D && 【 在 frankrick (garfield) 的大作中提到: 】
: 标&&题: Re: [求助]面试时被问到的一个问题,至今未想通,烦请大家指导
: 发信站: 水木社区 (Fri Aug 15 16:28:21 2014), 站内
: 行啊,呵呵,报销停车费不?
: 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: : 路费自理
: ※ 来源:·水木社区 ·[FROM: 192.203.57.*]
&&&&&&&& ☆─────────────────────────────────────☆ &&
ouzi770 (ouzi770) 于
(Sun Aug 17 01:19:16 2014)
提到: && 我去,把我们的面试题放网上了,太不职业了
【 在 fenglifang (仰光) 的大作中提到: 】
: 题目:统计输入数据流中每个数据出现的次数,输入数据范围为[0,255],用Verilog
编程实现。
: 我的思路:当时我的思路是开一个ram,地址线是8根,来一个数,把该数作为地址,读
出该地址上的数加1。
: 出现的问题:上述思路只能是顺序执行,而且顺序执行也有问题,读存储器需要一个周
期,然后写又需要一个周期,而数据是连续进来的,导致地址不是原来要写的地址了。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
wowo721 (wowo721) 于
(Sun Aug 17 07:41:16 2014)
提到: && 没看出来他怎么嫩了,题目没要求计数值上限,用reg实现是最简单又不会错的,为什么不行
【 在 report 的大作中提到: 】
: 其实都不是。只能说你还太嫩。BBS上说话没有不露怯的,别太较真就行。
: 我也经常让人拍个灰头土脸。当年也是嘴硬不服输,最后越抹越黑。
: 其实这就是个大家交流技术的地方,偶尔开开玩笑。
: ...................
&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Aug 17 09:15:12 2014)
提到: && 用reg没错啊。问题是从实践上看,显然不是最优的。 && 面试么,不就是看看应聘者啥情况。 &&&& 【 在 wowo721 (wowo721) 的大作中提到: 】
: 没看出来他怎么嫩了,题目没要求计数值上限,用reg实现是最简单又不会错的,为什么不行
&&&&&&&& ☆─────────────────────────────────────☆ &&
wowo721 (wowo721) 于
(Sun Aug 17 10:16:20 2014)
提到: && 那个说别人嫩的人提的两个方案,一个是用cam,这么简单的需求用cam太可笑了,另一个用ram的他自己都看不懂了,都去面试的话,真心不觉得他有资格说那个用reg的
【 在 oBigeyes 的大作中提到: 】
: 用reg没错啊。问题是从实践上看,显然不是最优的。
: 面试么,不就是看看应聘者啥情况。
: ...................
&&&& ☆─────────────────────────────────────☆ &&
oBigeyes (雾里看花) 于
(Sun Aug 17 10:21:09 2014)
提到: && 欢迎来拍砖 &&&& 不过我想实践中大部分是用ram && 【 在 wowo721 (wowo721) 的大作中提到: 】
: 那个说别人嫩的人提的两个方案,一个是用cam,这么简单的需求用cam太可笑了,另一个用ram的他自己都看不懂了,都去面试的话,真心不觉得他有资格说那个用reg的
&&&&&&&& ☆─────────────────────────────────────☆ &&
wowo721 (wowo721) 于
(Sun Aug 17 10:37:04 2014)
提到: && ram从资源上来说是最优的,reg的优点是查询计数值方便,不影响数据输入,而且逻辑简单,在计数上限较小时比ram更适合 && 【 在 oBigeyes 的大作中提到: 】
: 欢迎来拍砖
: 不过我想实践中大部分是用ram
: ...................
&&&& ☆─────────────────────────────────────☆ &&
nel (莱恩) 于
(Mon Aug 18 09:46:34 2014)
提到: && 虽然不排除楼主是在您家面试过才发帖,但看过回帖能发现,这真不能算独家享有的面试题。
【 在 ouzi770 (ouzi770) 的大作中提到: 】
: 我去,把我们的面试题放网上了,太不职业了
: 编程实现。
: 出该地址上的数加1。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
xinfengjiang (山水) 于
(Thu Aug 21 22:48:14 2014)
提到: && 叫兽V5 && 【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 其实都不是。只能说你还太嫩。BBS上说话没有不露怯的,别太较真就行。
: 我也经常让人拍个灰头土脸。当年也是嘴硬不服输,最后越抹越黑。
: 其实这就是个大家交流技术的地方,偶尔开开玩笑。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Fri Aug 22 14:48:31 2014)
提到: && 这个题目是本行业一个典型问题,不能算谁家的私有产权。
就算你拿这个题目去申请专利都没人受理。 && 【 在 ouzi770 (ouzi770) 的大作中提到: 】
: 我去,把我们的面试题放网上了,太不职业了
: 编程实现。
: 出该地址上的数加1。
: ...................
&&&&&& ☆─────────────────────────────────────☆ &&
hejianping (呵呵) 于
(Fri Aug 22 15:19:49 2014)
提到: && 核心问题就是RAM同一地址同时读写吧,外面再包一层逻辑让他第二拍出结果就行了,以前我也搞过类似的,不同通道搞时分复用 &&&& ☆─────────────────────────────────────☆ &&
report (笨笨竹的毛毛蛋) 于
(Fri Aug 22 16:01:22 2014)
提到: && RAM只是其中一种实现方案。
这个问题的本质是统计。配合不同的分析手段,这个问题的应用非常广泛,
包括聚类、压缩、空间映射、相关性分析……
总地来说,就是利用有限的存储资源,解决高维参数空间的样本处理问题。 && 【 在 hejianping (呵呵) 的大作中提到: 】
: 核心问题就是RAM同一地址同时读写吧,外面再包一层逻辑让他第二拍出结果就行了,以前我也搞过类似的,不同通道搞时分复用
&&&&&&&& ☆─────────────────────────────────────☆ &&
nel (莱恩) 于
(Fri Aug 22 17:05:31 2014)
提到: && 应楼主要求,这个帖子我做合集啦,不过仍然欢迎大家讨论类似的题目。
【 在 report (笨笨竹的毛毛蛋) 的大作中提到: 】
: 这个题目是本行业一个典型问题,不能算谁家的私有产权。
: 就算你拿这个题目去申请专利都没人受理。
&&&&&&&& 文章数:1&分页:

我要回帖

更多关于 空间想象能力题目训练 的文章

 

随机推荐