将下面的逻辑函数化简成最简与或表达式的标准Y1=AC+ACD+A'B+BCD

用卡诺图将下面逻辑函数化简为最简与或表达式 Y(A,B,C,D)=∑d(10,11,12,13,14,15)+∑m(3,6,7,9)_百度知道
用卡诺图将下面逻辑函数化简为最简与或表达式 Y(A,B,C,D)=∑d(10,11,12,13,14,15)+∑m(3,6,7,9)
麻烦哪位帮忙一下啦感激不尽
我有更好的答案
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。> 问题详情
将逻辑函数Y化简为最简与或式,并化简成最简与非—与非表达式
Y(A,B,C,D)=∑(m3,m4,m5,m7,m9,m10,m11)
悬赏:0&答案豆
提问人:匿名网友
发布时间:
将逻辑函数Y化简为最简与或式,并化简成最简与非—与非表达式&&Y(A,B,C,D)=∑(m3,m4,m5,m7,m9,m10,m11)
为您推荐的考试题库
您可能感兴趣的试题
1在LC正弦波振荡电路中,不用通用型集成运算放大器作放大电路的原因是其上限截止频率太低,难以产生高频振荡信号。
)2当集成运放工作在非线性区时,输出电压不是高电平,就是低电平。
)3一般情况下,电压比较器的集成运算放大器工作在开环状态,或者引入了正反馈。
我有更好的答案
请先输入下方的验证码查看最佳答案
图形验证:
验证码提交中……
每天只需0.4元
选择支付方式
支付宝付款
郑重提醒:支付后,系统自动为您完成注册
请使用微信扫码支付(元)
支付后,系统自动为您完成注册
遇到问题请联系在线客服QQ:
恭喜你被选中为
扫一扫-免费查看答案!
请您不要关闭此页面,支付完成后点击支付完成按钮
遇到问题请联系在线客服QQ:
恭喜您!升级VIP会员成功
提示:请截图保存您的账号信息,以方便日后登录使用。
常用邮箱:
用于找回密码
确认密码:试将下列逻辑函数化简成最简与-或表达式_百度知道
试将下列逻辑函数化简成最简与-或表达式
我有更好的答案
F1=AB'+A'B+[(A'+B')C]'=AB'+A'B+(A'+B')'+C'=AB'+A'B+AB+C'=(AB'+AB)+A'B+C'=A+A'B+C'=A+B+C'
为您推荐:
其他类似问题
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。化简f=ac+bc_中华文本库
(1)F(A,B,C)=AB+BC+AC (2)F(A,B,C)=(A+B+C)(?A+?B+?C) ...13,14,15) 2.11 试用公式法把下列各表达式化简为最简与或式 (1)F=A+AB...
A ? B 例: 化简逻辑函数 L ? AB ? AC ? BC ? CB ? BD ? DB ? ADE(F ? G) 解: L ? ABC ? BC ? CB ? BD ? DB ? ADE(F ? G) (...
和△ DEC 的面积相等,点 E 在 BC 边上, DE∥AB 交 AC 于点 F, AB ?...1 . 18.先化简,再求值: (1 ? 1 x ) ? ,其中 x ? 2016 . x ?1 ...
L ? AB ? AC ? BC ? C B ? BD ? DB ? ADE( F ? G ) L ? A ? C D ? C B ? BD 脉冲与数字电路 §1.4 逻辑函数的公式化简法 脉冲与数字...
数字电子技术试题库 - 数字电子技术 一、请对下列函数化简 1、 F1=AC+AB+BC+ACD 解: F1=AC+AB+BC+ACD F1=AC(1+D)+AB+BC =AC+AB+BC...
A BC 根据最简与非式画出用与非门实现的最简逻辑...(3)将输出逻辑函数表达式化简并转换为与非与非式为...(3)当 D=1 时, F = A B + AC = 当 D=...
A ? BD ? BD 【3-5】用卡诺图化简下列各式 (1) F1(A,B,C)= 2 3 ? m(0,1, 2,5, 6, 7) ? AB ? AC ? BC (2) F (A,B,C,D)= ? ...
数字电路公式化简 - 常用公式 公式1: AB+AB=A 公式2: A+AB=A 公式3: A+AB=A+B 证明: 左=A+(AB+AB)=A+B 如果一个变量的反变量是另一式的因子,...
ABC ? AC 的卡诺图画出 例2: 解: AB ACD AB...用卡诺图化简该函数 L=AB+BC+CA BC 00 1 1 ...B + C 写出以下组合逻辑电路输出L、F的表达式 & ...
化简逻辑函数 L = AB + AC + BC + C B + BD + DB + ADE ( F + G ) 解: L = ABC + BC + CB + BD + DB + ADE( F + G) (利用反演律...当前位置: >>
数字电路与逻辑设计习题及复习资料
数字电路与逻辑设计模拟题一、 选择题 1、 (36.7)10 的 8421BCD 码为。 () A、 ()8421BCD B、 (0)8421BCD C、 (1)8421BCD D、 ()8421BCD 2、与(6B.2)16 相对应的二进制数为() A、 ()2 B、 ()2 C()2 D、 ()2 3、在 BCD 码中,属于有权码的编码是() A、余 3 码 B、循环码 C、格雷码 D、8421 码 4、如图 1-1 所示门电路,按正逻辑体制,电路实现的逻辑式 F=()A、 A ? B ? C C、A+B+CB、 A ? B ? C D、 A ? B ? C5、如果 1-2 所示的波形图,其表示的逻辑关系是() A、F=A?B C、F= A ? B B、F=A+B D、F= A ? B6、下列器件中,属于组合电路的有() A、计数器和全加器 B、寄存器和比较器 C、全加器和比较器 D、计数器和寄存器 7、异或门 F=A ? B 两输入端 A、B 中,A=0,则输出端 F 为() A、A ? B B、B C、 B D、08、已知 4 个组合电路的输出 F1~F4 的函数式非别为:F1=AB+ A C, F2=AB+ A CD+BC,F3= A B +B C ,F4=(A+ B ) ? (A +C ) ,则不会产生竞争冒险的电路是( ) A、电路 1 B、电路 2 C、电路 3 9、边沿触发 JK 触发器的特征方程是() A、θ C、θn ?1D、电路 4=J? n +k ? nnB、θ D、θn ?1= J ? +kn n n?nn ?1n =J ? + k ?n ?1=J ? +K ?10、用 n 个出发器件构成计数器,可得到的最大计数长度为( A、n B、2n C、n2)D、2n11、 (.21BCD 所对应的十进制数为() A、 (652.16)10 B、 ( C、 (652.13)10 D、 ( 12、八进制数(321)8 对应的二进制数为() A、 ()2 B、 ( C、 ( D、 ( 13、与(19)10 相对应的余 3BCD 码是() A、 ()余 3BCD B、 ()余 3BCD C、 ()余 3BCD D、 ()余 3BCD 14、如图 1-3 所示门电路,按正逻辑体制,电路实现的逻辑关系 F=() A、 A ? B ? C B、 A ? B ? C C、A+B+C D、 A ? B ? C图 1-3 15、如图 1-4 所示的波形图表示的逻辑关系是() A、F= A ? B B、F=A+B C、F= A ? B D、F= A ? B16、已知逻辑函数的卡诺图如图 1-5 所示能实现这一函数功能的电路是()17、组合逻辑电路的特点是() A、含有存储元件 B、输出、输入间有反馈通路 C、电路输出与以前状态有关 D、全部由门电路构成 18、函数 F= AC ? AB ? B ? C ,当变量取值为() ,不会出现冒险现象。 A、B=C=1 B、B=C=0 C、A=1,C=0 D、A=B=0 19、由与非门组成的基本 RS 触发器的特性方程是() A、 ?? ? ?? n ?1 ? S 0 ? R0? n ?? n ?1 ? S 0 ? R0? n ?? n ?1 ? S 0 ? R0 ? n ?? n ?1 ? S 0 ? R0 ? n B、 ? C、 ? D、 ? ? ? ? R0 ? S 0 ? 1 ? R0 ? S 0 ? 1 ? R0 ? S 0 ? 1 ? R0 ? S 0 ? 1 20、4 个触发器构成 8421BCD 码计数器,共有()个无效状态。 A、6 B、8 C、10 D、不定 二、填空题 1、 (67)10 所对应的二进制数为 和十六进制数为 2、逻辑函数 F=AB+ A B 的对偶函数 F@= 3、在数字逻辑电路中,三极管主要工作在 4、如图 2-1 所示电路能实现的逻辑关系是 F=。两种稳定状态。 。5、CMOS 传输门组成的电路如图 2-2 所示,当 C=0 时,U0= 当 C=1 时,U0= 。,6、四选一数据选择器,AB 为地址信号,I0=I3=1,I1=C,I2= C ,当 AB=00 时,输出 F= 出 F= 。;当 AB=10 时,输7、3 线D8 线译码器如图 2-3 所示,他所实现函数 F=。8、时序逻辑电路一般由 和 两分组成。 9、半导体存储器,根据用户对存储器进行操作分为 和 两大类。 10、十进制数(56)10 转换为二进制数为 和十六进制数为 11、逻辑函数 F=A? (B+C) ?1 的反函数 F = 12、由于二极管具有 特性,因此可作为开关元件使用。 13、由 oc 门构成的电路如图 2-4 所示,F 的表达式为 14、如图 2-5 所示电路中,F 的表达式为15、八选一数据选择器电路如图 2-6 所示,他所实现函数 F=16、3 线-8 线译码器电路如图 2-7 所示,它所实现函数 F1= ;F2= 。17、JK 触发器,要使 ?n?1? ? n ,则输入 J=K=;或 J=,K= 型时序电路的输出仅与18、 型时序电路的输出不仅与电路内部的状态有关,且与外输入有关。 电路内部的状态有关。 19、RAM 由若干基本存储电路组成,每个基本存储电路可存放 。 三、分析化简题 1、化简函数 (1)Y1=( AB ? AB ?C+ A BC ) ? (AD+BC) (代数法化简) (2)Y2=AB+ B D +BCD+ A B C(卡诺图化简) (3)Y3(A、B、C、D)= (?m(1,2,4,12,14) + ?? (5,6,7,8,9,10)(卡诺图化简) ? m 为函数 Y 的最小项和, ?? 为任意项和)2、电路如图 3-1 所示,分析电路逻辑功能。3、分析图 3-2 所示电路的逻辑功能,写出电路的驱动方程,状态方程和输出方程,画出电路的状态转换图和时序图。4、图 3-3 所示电路由 555 定时器构成,它是什么电路?已知定时电阻 R=11 KΩ ,要求输出脉冲宽度 tw=1 秒,试计算定时电容 C 的数值?5、图 3-4 电路中 74LS290 已接成异步十进制计数器,θ 0 为最低位,θ 3 为最高位,设计数器输出高电平为 3.5v,低电平为 0v。当θ 3θ 2θ 1θ 0=0101 时,求输出电压 U0 的值? 6、化简函数 (1)Y1= A ? B ? ACD ? AB ? BD (2)Y2= AC ? AD ? CD (3)Y3(A、B、C、D)= ( (代数法化简) (卡诺图化简)3、 5、 7、 9) ? ??( 10、 11 、 12、 13 、 14、 15 ) ?m(1、(卡诺图化简) ? m 为函数 Y3 的最小项和, ?? 为任意项和)7、电路如图 3-5 所示,分析电路逻辑功能。 (本题 8 分)8、分析图 3-6 所示电路的逻辑功能,写出电路的驱动方程,状态方程和输出方程,画出电路的状态转换图,并说明 该电路能否自启动。9、由 555 定时器构成的多谐振动器如图 3-7 所示,已知 R1=1KΩ ,R2=8.2KΩ ,C=0.1μ F。试求脉冲宽度 T1,振荡频 率 f 和占空比 q。10、图 3-8 所示电路是倒 T 形电阻网络 D/A D3D2D1D0=0110 时,U0=?转换器,已知 R=10K Ω , UREF=10V 。试求: ( 1 ) U0 输出范围; ( 2 )当四、设计题 1、用如下器件实现函数 Y=A ? B ? C,画出逻辑图(或阵列结构图) 。 (1)与非门; (2)3 线D8 线译码器(74LS138)和与非门; (3)八选一数据选择器(74LS151) ; (4)ROM 的阵列结构图。2、 试用置零法将 4 位同步二进制计数器 74LS161 接成十三进制计数器, 并画出状态转换图, 可以附加必要的门电路。3、用如下器件实现函数 Y=(A ? B) ? C+ A ? B ? C 画出逻辑图(或阵列结构图) 。 (1)与非门; (2)3 线-8 线译码器(74LS138)和与非门; (3)八选一数据选择器(74LS151) ; (4)ROM 的阵列结构图。4.试用置零法将 4 位同步二进制计数器 74LS161 接成八进制计数器,并画出状态转换图,可以附加必要的门电路。数字电路与逻辑设计模拟参考答案 一、 1、C 2、A 3、D 6、C 7、B 8、B 11、A 12、A 13、B 16、D 17、D 18、B 二、 4、B 9、C 14、C 19、C 5、C 10、D 15、D 20、A 1、 ( , (43)16 2、 (A+B) ? ( A? B) 4、 A ? C ? B ? C 8、1 5、Ui1,Ui2 6、1, C3、饱和及截止7、m1+m3+m4+m5+m6 10、只读存储器,随机读写存储器9、组合逻辑电路,存储电路11、 (, (38)16 12、 A ? B ? C 14、F=A ? B 15、F= A ? B = A B AB 0013、单向导电16、 AB ? AC ? BC 或 BC ? AC ? AB CD 00 1 01 11 1 1 10 18、1,? n ?n17 、 m1+m2+m3+m7 , m3+m5+m6+m7 摩尔 20、一位二值代码19、米利,01 11 10 1 1 11 1 1 1三、1、 (1)Y1=ACD+ABC(2)Y2 =AB+ B D + A CD(3)Y3=B D +C D + A C D AB 00 01 11 10 2、 (1)写出逻辑函数式 F= A ? ABC ? B ? ABC ? C ? ABC = ABC =ABC+ A ? B ? C (A ? B ? C) 1 1 Ф Ф CD 00 01 1 Ф Ф 11 10 1 Ф 1 Ф =ABC+ A B C (2)列真值表 A 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 B 0 1 0 1 0 1 0 1 C F 1 0 0 0 0 0 0 1(3)由真值表可知 当 ABC=000 或 111 时 F=1,否则 F=0 所以该电路为“一致电路” 3、 (1)驱动方程? ?J 0 ? ?1n ? ? ? K0 ? 1(2)状态方程n ?1 n n ? ?? 0 ? ? 1 ? o ? n ?1 n n ? ?? 1 ? ? 0 ? 1?J1 ? ? 0 n ? ? K1 ? 1(3)输出方程 Z= ? 1n(4)状态转换图 Zθ 1θ011 11 11 10 0010 01 10(5)时序图 此电路是一个同步三进制加法计数器电路可自启动。 4、构成单稳态触发器 ∵tw=1.1RC ∴ AB 00 01 11 10 C= 1 1 CD 00 01 1 1 1 1 11 1 1 1 1 101 1 -3 = =0.083 ? 10 F=83 ?F 1.1R 1.1 ? 10 ? 10 35、3 .5 3 .5 3 .5 3 .5 ?1+ ?2 + ?3 + ? ) 1 2 4 8 0 3 .5 3 .5 3 .5 3 .5 U0=DRFI Z =D2( ?1+ ?2 + ?3 + ? ) 1 2 4 8 0I Z =( 当θ 3θ 2θ 1θ 0=0101 时 U0=D(3 .5 3 .5 + ) ? 2=D(3.5+0.875)=D4.375V 2 86、 (1)Y1= A ? B ? ACD ? AB ? BD = AB ? ACD =A+ B ? ACD =A+ B (2)Y2= AC ? D(3)Y3=D AB 00 01 11 10 Ф CD 00 01 1 1 Ф 1 11 1 1 Ф Ф Ф Ф 10 7、 (1)写出输出函数表达式F1 ? A ? AB ? B AB ? A ? AB ? B AB ? AB (A ? B) ? (A ? B)( A ? B)= AB ? AB = A ? BF 2 ? AB ? A ? B(2)列真值表 A 0 0 1 1 B 0 1 0 1 F1 0 1 1 0 F2 0 0 0 1(3)由真值表可知,F1 和 AB 是异或关系,相当于两个一位二进制数相加所得的本位和数;F2 是 A 和 B 的逻辑与,相 当于两数相加的进位数,所以该电路是由两个一位二进制数相加的加法电路,又称为半加器。 8、 (1)驱动方程? D0 ? ? 2 n ? n ? D1 ? ? 0 ? D ? ? n 0? n 1 ? 0(2)状态方程? ? n ?1 ? ? n 0 2 ? ? n ?1 n ? ? ? ? 1 0 ?? n ?1 ? ? n? n 0 1 ? ? 0(3)输出方程 Z= ? 0 ? ? 2n n(4)状态转换图该电路为一同步五进制计数器,电路可自启动 9、 T1=0.7( R1 ? R2 ) ?C=0.7 ? (1+8.2) ? 10 ? 0.1 ? 10 =0.644ms3 -6T=0.7( R1 ? 2 R2 ) ?C=0.7 ? (1+2 ? 8.2) ? 10 ? 0.1 ? 10 =1.218ms3 -6f=1 1 ? ? 821HZ ? 0.821KHZ T 1.218 ? 10 ?3q=T1 R ? R2 0.644 ? 1 ? ? 52 % T R1 ? 2 R2 1.21810、(1)U0=DU REF Dn 2n当 D3D2D1D0=1111 时U0=D10 ? 15 =D9.375V 24所以输出电压范围为 0~D9.375V (2)U0=D10 ? 6 ? D3.75v 24四、 1、 Y=A ? B ? C=(A B + A B) ? C=(A B + A B) C + AB ? AB ?C = A B C + A B C + ABC+ A B C= (1)与非门 Y= AC B ? ABC ? BAC ? ABC = AC B ? ABC ? ABC ? BAC2, 4, 7) ? (1,m(2)3 线-8 线译码器和与非门Y ? m1 ? m 2 ? m 4 ? m7 = m1 ? m 2 ? m 4 ? m7 = Y1 ? Y2 ? Y4 ? Y7 (3)八选一数据选择器 I1=I2=I4=I7=1 I0=I3=I5=I6=0 (4)ROM 的阵列结构图2、θ 3 θ 2 θ 1θ0110111100000000100100011 1100010010110101101010011000011101103、 Y= (AB ? AB) ? C ? (A⊙B) ? C = ABC ? ABC ? ABC ? ABC=m0+m3+m5+m6=3, 5, 6) ?m(0,Y= ABC ? ABC ? ABC ? ABC = ABC ? ABC ? ABC ? ABC Y= m0 ? m3 ? m5 ? m6 = m0 ? m3 ? m5 ? m6 = Y0 ? Y3 ? Y5 ? Y6 I1=I2=I4=I7=0(1)与非门(2)3 线-8 线译码器和非门 (3)八选一数据选择器I0=I3=I5=I6=1A2A1A0 0001 I1 I511 I3 I710 I2 I60 1I0 I4(4)ROM 阵列结构图 4、θ 3 θ 2 θ 1θ0 第 1 章 数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与 16 进制数的转换 二、基本逻辑门电路 第 2 章 逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形 图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A ? 1 ? A A+1=1 与 A ? 0 ? 0A ? A =1 与 A ? A =02)与普通代数相运算规律 a.交换律:A+B=B+AA? B ? B ? Ab.结合律: (A+B)+C=A+(B+C)( A ? B) ? C ? A ? ( B ? C )c.分配律: A ? ( B ? C ) = A ? B ? A ? CA ? B ? C ? ( A ? B)()A ? C ) )3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律: A ? B ? A ? B , A ? B ? A ? B b.关于否定的性质A= A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L 表示,则等式仍然成立,这个规则称为代入规则 例如: A ? B ? C ? A ? B ? C 可令L= B ? C 则上式变成 A ? L ? A ? L = A ? L ? A ? B ? C 三、逻辑函数的:――公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将 逻辑函数化简为最简的与―或表达式 1)合并项法: 利用A+ A ? A ? 1 或 A ? B ? A ? B ? A ,将二项合并为一项,合并时可消去一个变量 例如:L= ABC ? ABC ? AB(C ? C) ? AB 2)吸收法 利用公式 A ? A ? B ? A ,消去多余的积项,根据代入规则 A ? B 可以是任何一个复杂的逻 辑式 例如 化简函数L= AB ? AD ? B E 再用吸收法解:先用摩根定理展开: AB = A ? B L= AB ? AD ? B E = A ? B ? AD ? B E = ( A ? AD) ? (B ? BE) = A(1 ? AD) ? B(1 ? BE) = A? B 3)消去法 利用 A ? AB ? A ? B 消去多余的因子例如,化简函数L= AB ? AB ? ABE ? ABC 解:L= AB ? AB ? ABE ? ABC = ( AB ? ABE) ? ( AB ? ABC) = A(B ? BE) ? A(B ? BC) = A(B ? C)(B ? B) ? A(B ? B)(B ? C) = A(B ? C) ? A(B ? C) = AB ? AC ? AB ? AC = AB ? AB ? C4)配项法 利用公式 A ? B ? A ? C ? BC ? A ? B ? A ? C 将某一项乘以( A ? A ) ,即乘以 1,然后将其折成几 项,再与其它项合并。 例如:化简函数L= AB ? BC ? BC ? AB 解:L= AB ? BC ? BC ? AB = A ? B ? B ? C ? ( A ? A)BC ? AB(C ? C) = A ? B ? B ? C ? ABC ? ABC ? ABC ? ABC = ( A ? B ? ABC) ? (B ? C ? ABC) ? ( ABC ? ABC) = A ? B(1 ? C) ? BC(1 ? A) ? AC(B ? B) = A ? B ? BC ? AC 2.应用举例 将下列函数化简成最简的与-或表达式 1)L= AB ? BD ? DCE ? D A 2) L= AB ? BC ? AC 3) L= AB ? AC ? BC ? ABCD 解:1)L= AB ? BD ? DCE ? D A = AB ? D(B ? A) ? DCE = AB ? DBA ? DCE = AB ? D AB ? DCE = ( AB ? D)(AB ? AB) ? DCE = AB ? D ? DCE = AB ? D 2) L= AB ? BC ? AC = AB(C ? C) ? BC ? AC = ABC ? ABC ? BC ? AC = AC(1 ? B) ? BC(1 ? A) = AC ? BC 3) L= AB ? AC ? BC ? ABCD = AB ? AC ? BC( A ? A) ? ABCD = AB ? AC ? ABC ? ABC ? ABCD = ( AB ? ABC ? ABCD) ? ( AC ? ABC) = AB(1 ? C ? CD) ? AC(1 ? B) = AB ? AC 四、逻辑函数的化简―卡诺图化简法: 卡诺图是由真值表转换而来的,在变量卡诺图中,变量的取值顺序是按循环码进行 排列的,在与―或表达式的基础上,画卡诺图的步骤是: 1.画出给定逻辑函数的卡诺图, 若给定函数有 n 个变量, 表示卡诺图矩形小方块有 2n 个。 2.在图中标出给定逻辑函数所包含的全部最小项,并在最小项内填 1,剩余小方块 填 0. 用卡诺图化简逻辑函数的基本步骤: 1.画出给定逻辑函数的卡诺图 2.合并逻辑函数的最小项 3.选择乘积项,写出最简与―或表达式 选择乘积项的原则: ①它们在卡诺图的位置必须包括函数的所有最小项 ②选择的乘积项总数应该最少 ③每个乘积项所包含的因子也应该是最少的 例 1.用卡诺图化简函数L= ABC ? ABC ? ABC ? ABC 解:1.画出给定的卡诺图 2.选择乘积项:L= AC ? BC ? ABC 例 2.用卡诺图化简L= F ( ABCD) ? BCD ? BC ? ACD ? ABC 解:1.画出给定 4 变量函数的卡诺图 2.选择乘积项 设到最简与―或表达式L= BC ? ABD ? ABC 例 3.用卡诺图化简逻辑函数 L= ? m(1,3,4,5,7,10,12,14) 解:1.画出 4 变量卡诺图 2.选择乘积项,设到最简与―或表达式 L= AD ? BCD ? ACD 第 3 章 逻辑门电路 门电路是构成各种复杂集成电路的基础,本章着重理解 TTL 和 CMOS 两类集成电 路的外部特性:输出与输入的逻辑关系,电压传输特性。 1. TTL 与 CMOS 的电压传输特性 开门电平 VON ―保证输出为额定低电平 时所允许的最小输入高电平值 在标准输入逻辑时, VON =1.8VVOA 3 2 1 C BA 0 1BC 00 101 111 1 110AB 00 01 11 1000 1 101 1 1 111 1 1101AB 00 00 m0 01 m41 11 m12 10 m801m1 1 m5 1 m13 1 m911m3 1 m7 1 m15 m1110m2 m6 m14 1 m10 1VNLD E 0.5 1 1.5 2 2.5 3 1.8 0.3 0.8 VIH VIL VOFF VON VNHVI关门 VOFF ―保证输出额定高电平 90%的情况下,允许的最大输入低电平值,在标准 输入逻辑时, VOFF =0.8VVIL ―为逻辑 0 的输入电压 VIH ―为逻辑1的输入电压典型值 VIL =0.3V 典型值 VIH =3.0V 典型值 VOH =3.5V 典型值 VOL =0.3VVOH ―为逻辑1的输出电压VOL ―为逻辑 0 的输出电压对于 TTL:这些临界值为 VOH min ? 2.4V , VOL max ? 0.4VVIH min ? 2.0V , VIL max ? 0.8V低电平噪声容限: VNL ? VOFF ? VIL 高电平噪声容限: VNH ? VIH ? VON 例:74LS00 的 VOH(min)? 2.5VVIH(min)? 2.0V VIL(max)? 0.7V VNH ? VIH ? VON =3-1.8=1.2V VNL ? VOFF ? VIL =0.8-0.3=0.5VVOL (出最小)? 0.4V它的高电平噪声容限 它的低电平噪声容限2.TTL 与 COMS 关于逻辑 0 和逻辑 1 的接法 74HC00 为 CMOS 与非门采用+5V电源供电,输入端在下面四种接法下都属于逻辑 0 ①输入端接地 ②输入端低于 1.5V的电源 ③输入端接同类与非门的输出电压低于 0.1V ④输入端接 10 K? 电阻到地 74LS00 为 TTL 与非门,采用+5V电源供电,采用下列 4 种接法都属于逻辑 1 ①输入端悬空 ②输入端接高于 2V电压 ③输入端接同类与非门的输出高电平 3.6V ④输入端接 10 K? 电阻到地 第 4 章 组合逻辑电路 一、组合逻辑电路的设计方法 根据实际需要,设计组合逻辑电路基本步骤如下: 1.逻辑抽象 ①分析设计要求,确定输入、输出信号及其因果关系 ②设定变量,即用英文字母表示输入、输出信号 ③状态赋值,即用 0 和 1 表示信号的相关状态 ④列真值表, 根据因果关系, 将变量的各种取值和相应的函数值用一张表格一一列举, 变量的取值顺序按二进制数递增排列。 2.化简 ①输入变量少时,用卡诺图 ②输入变量多时,用公式法 3.写出逻辑表达式,画出逻辑图 ①变换最简与或表达式,得到所需的最简式 ②根据最简式,画出逻辑图 例, 设计一个 8421BCD 检码电路, 要求当输入量 ABCD&3 或&7 时, 电路输出为高电平, 试用最少的与非门实现该电路。 解:1.逻辑抽象 ①分由题意,输入信号是四位 8421BCD码为十进制,输出为高、低电平; ②设输入变量为 DCBA,输出变量为L; ③状态赋值及列真值表 由题意,输入变量的状态赋值及真值表如下表所示。A 0 0 0 0 0 0 0 0 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 C D 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1 L 1 1 1 0 0 0 0 0 1 1CD AB 00 00 1 01 0 11 101 11 1 0 0 0 110 1 0 2.化简 由于变量个数较少,帮用卡诺图化简 3.写出表达式 经化简,得到 L ? A ? BD ? ABC 4.画出逻辑图 二、用组合逻辑集成电路构成函数 ①74LS151 的逻辑图如右图图中, E 为输入使能端,低电平有效 S2 S1S0 为地址输入端,D0 ~ D7 为数据选择输入端, Y 、 Y 互非的输出端,其菜单如下表。YB D A C1B D A C0&0010 0 0&=101L0 0 0&01= D0 S2 S1 S0 ? D1 S2 S1S0 ? D2 S2S1 S0 ? ... ? D7 S2S1S0i ?7Yi = ? ? mi Dii ?0其中 mi 为 S2 S1S0 的最小项Di 为数据输入当 Di =1 时,与其对应的最小项在表达式中出现 当 Di =0 时,与其对应的最小项则不会出现 利用这一性质,将函数变量接入地址选择端,就可实现组合逻辑函数。 ②利用入选一数据选择器 74LS151 产生逻辑函数 L ? ABC ? ABC ? AB 解:1)将已知函数变换成最小项表达式 L= ABC ? ABC ? AB = ABC ? ABC ? AB(C ? C) = ABC ? ABC ? ABC ? ABC 2)将 L ? ABC ? ABC ? ABC ? ABC 转换成 74LS151 对应的输出形式 Yi = ? ? mi Dii ?0 7 在表达式的第 1 项 ABC 中 A 为反变量,B、C为原变量,故 ABC =011 ? m3 在表达式的第2项 ABC ,中 A、C 为反变量,为 B 原变量,故 ABC =101 ? m5 同理ABC=111 ? m7ABC =110 ? m674LS151 E D0 D1 D2 D3 D4 D5 D6 D7 S2 AL这样L= m3D3 ? m5 D5 ? m6 D6 ? m7 D7 将 74LS151 中 m D3、D5、D6、D7 取 1 即 D3 ? D5 ? D6 ? D7 =11S1 S0 B CD0、D1、D2、D4 取 0,即 D0 ? D1 ? D2 ? D4 =0由此画出实现函数L= ABC ? ABC ? ABC ? ABC 的逻辑图如下图示。第 5 章 锁存器和触发器 一、 触发器分类: 基本 R-S 触发器、 同步 RS 触发器、 同步D触发器、 主从 R-S 触发器、主从 JK 触发器、边沿触发器{上升沿触发器(D触发器、JK 触发器) 、 下降沿触发器(D触发器、JK 触发器) 二、触发器逻辑功能的表示方法 触发器逻辑功能的表示方法,常用的有特性表、卡诺图、特性方程、状态图及时序图。 对于第 5 章 表示逻辑功能常用方法有特性表,特性方程及时序图 对于第 6 章 上述 5 种方法其本用到。 三、各种触发器的逻辑符号、功能及特性方程 1.基本 R-S 触发器 特性方程:Qn ?1 ? S ? RQnR ? S ? 0 (约束条件)逻辑符号S R Q Q逻辑功能 若 R ? 1, S ? 0 ,则 Qn ?1 ? 0 若 R ? 0, S ? 0 ,则 Qn ?1 ? 1 若 R ? 1, S ? 0 ,则 Qn ?1 ? Qn 若 R ? 1, S ? 1 ,则 Q ? Q =1(不允许出现)2.同步 RS 触发器S CPRCLRSSETQQ Qn ?1 ? S ? RQn (CP=1 期间有效)R?S ? 0若 R ? 1, S ? 0 ,则 Qn ?1 ? 0 若 R ? 0, S ? 0 ,则 Qn ?1 ? 1 若 R ? 1, S ? 0 ,则 Qn ?1 ? Qn 若 R ? 1, S ? 1 ,则 Q ? Q =1 处于不稳定状态(约束条件)3.同步D触发器 特性方程 Qn ?1 ? D (CP=1 期间有效) 4.主从 R-S 触发器 特性方程 Qn?1 ? S ? RQn (作用后)R?S ? 0D CPDSETQQCLRQS CP RSSETQQ QRCLRQ约束条件逻辑功能 若 R ? 1, S ? 0 ,CP 作用后, Qn ?1 ? 0 若 R ? 0, S ? 1 ,CP 作用后, Qn ?1 ? 1 若 R ? 0, S ? 0 ,CP 作用后, Qn ?1 ? Qn 若 R ? 1, S ? 1 ,CP 作用后,处于不稳定状态 Note: CP 作用后指CP由 0 变为 1,再由 1 变为 0 时5.主从 JK 触发器 特性方程为: Qn?1 ? J Qn ? KQn (CP 作用后)JJSETQQ Q逻辑功能 若 J ? 1, K ? 0 ,CP 作用后, Qn ?1 ? 1 若 J ? 0, K ? 1 ,CP 作用后, Qn ?1 ? 0 若 J ? 1, K ? 0 ,CP 作用后, Qn ?1 ? Qn (保持) 若 J ? 1, K ? 1 ,CP 作用后, Qn ?1 ? Qn (翻转) 7. 边沿触发器CP KKCLRQ边沿触发器指触发器状态发生翻转在 CP 产生跳变时刻发生, 边沿触发器分为:上升沿触发和下降沿触发 1)边沿D触发器 ①上升沿D触发器 其特性方程 Qn ?1 ? D (CP 上升沿到来时有效) ②下降沿D触发器D CPDSETQQ QCLRQDDSETQ其特性方程 Qn ?1? D (CP 下降沿到来时有效)Q QCPCLRQ2)边沿 JK 触发器JJSETQQ Q①上升沿 JK 触发器KKCLRQ其特性方程 Qn?1 ? J Qn ? KQn (CP 上升沿到来时有效) ②下降沿 JK 触发器 其特性方程 Qn?1 ? J Qn ? KQn (CP 下降沿到来时有效) 3)T触发器 ①上升沿T触发器T 1T Q QJ CP KJSETQQ QKCLRQ其特性方程 Qn ?1 ? T ? Qn (CP 上升沿到来时有效) ②下降沿T触发器 其特性方程: Qn ?1 ? T ? Qn (CP 下降沿到来时有效)CPT CP1TQ Q例:设图A所示电路中,已知A端的波形如图B所示,试画出Q及B端波形,设触发器 初始状态为 0. 由于所用触发器为下降沿触发的D触发器, 其特性方程为 Qn ?1 ? D = Q n (CP 下降沿到来时)t1 时刻之前B=CP= A ? QnQn ? 1 , Q n =0,A=0CP=B=0 ? 0=0t1 时刻到来时Q n ? 0 ,A=1CP=B=1 ? 0=1t 2 时刻到来时Q n ? 0 不变A=0,Q n ? 0 , 故 B=CP=0, 当 CP 由 1 变为 0 时,Qn ?1 ? Q n = 0 =1 当 Qn ?1 ? 1,而 A=0 ?CP=1t3 时刻到来时,A=1, Qn ? 1 ? CP=A ? Q n =0当 CP=0 时, Qn ?1 ? Q n =0 当 Qn?1 ? 0 时,由于 A=1,故 CP= A ? Q n =1DSETQt1 t2 t3 t4QA Q Q B CPA0=10CLRQ0t4B图A图B若电路如图 C 所示,设触发器初始状态为 0,C 的波形如图 D 所示,试画出Q及B端 的波形 当特性方程 Q n?1 ? D = Q n (CP 下降沿有效)t1 时刻之前,A=0, t1 时刻到来时Q=0,CP=B= A ? Qn ? 1Qn ? 0A=1,故 CP=B= A ? Qn ? 1 ? 0 ? 0当 CP 由 1 变为 0 时, Qn?1 ? Q n =1 当 Q n =1 时,由于 A=1,故 CP=1 ? 1 , Q n 不变t 2 时刻到来时,? A=0, Q n =1,故 CP=B= A ? 1 ? 0此时,CP 由 1 变为 0 时, Qn?1 ? Q n =0 当 Q n =0 时,由于A=0 故 CP=0 ? 0=1t 3 时刻到来时,由于 A=1,而 Q n =0,故 CP= A ? Qn ? 0当 CP 由 1 变为 0 时, Qn?1 ? Q n =1 当Q=1 时,由于A=1,故CP=B= 1 ? 1 ? 1DSETQt1 t2 t3 t4QCLRA0=0QA Q0QB CPB图C图D 例:试写出如图示电路的特性方程,并画出如图示给定信号 CP、A、B作用下Q 端的波形,设触发器的初始状态为 0.A0&00J CP KJSETQQ QKCLRQ0&=10B CP0解:由题意该触发器为下降沿触发器 JK 触发器其特性方程Qn?1 ? J Qn ? KQn (CP 下降沿到来时有效)其中 J ? A ? BK ? A? Bcp A B J Kt1t2t3t4t5由 JK 触发器功能: J=1, K=0 CP 作用后 Qn?1 ? 1 J=0, K=0 CP 作用后 Qn?1 ? 0 J=0, K=0 CP 作用后 Qn?1 ? Q n J=1, K=1 CP 作用后 Qn?1 ? Q nQ第 6 章 时序逻辑电路分类 一、时序逻辑电路分类 时序逻辑电路分为同步时序逻辑电路和异步时序逻辑电路,时序逻辑电路通常由组合 逻辑电路和存贮电路两部分组成。 二、同步时序电路分析 分析步骤:①确定电路的组成部分 ②确定存贮电路的即刻输入和时序电路的即刻输出逻辑式 ③确定电路的次态方程 ④列出电路的特性表和驱动表 ⑤由特性表和驱动表画出状态转换图 ⑥电路特性描述。 例:分析如下图示同步时序电路的逻辑功能A0 0 0 0&0Z&001TQ0 Q0Q11TQ1 FF1CPFF0解:①确定电路的组成部分 该电路由 2 个上升沿触发的 T 触发器和两个与门电路组成的时序电路 ②确定存贮电路的即刻输入和时序电路的即刻输出 存贮电路的即刻输入:对于 FF0 : To ? A 对于 FF1 : To ? AQ0n 时序电路的即刻输出: I ? AQ1nQ0n ③确定电路的状态方程 对于 FF0 : Q0n?1 ? A ? Q0n 对于 FF1 : Q1n?1 ? ( AQ0n ) ? Q1n ④列出状态表和真值表 由于电路有 2 个触发器,故可能出现状态分别为 00、01、10、11 设n n S0 ? Q0 Q0 ? 00 n n S1 ? Q0 Q0 ? 01 n S2 ? Q1nQ0 ? 10 n S3 ? Q1nQ0 ? 11n+1 n Q1 n Q0 n+1Q1Q0zn+1 n Q1 n Q0n+1Q1Q0zA=0A=1A=0S0 S1 S2 S3A=1S1 S2 S3 S00 0 1 10 1 0 10 0 0 01 0 01 0 10 0 11 0 10 0 11 0 00 1S0 S1 S2 S30 0 0 00 0 0 1⑤电路状态图为Q1Q0A Z0 0 ⑥电路的特性描述 由状态图,该电路是一个可控模 4 加法计数器,当 A=1 时,在 CP 上升沿到来后电路状 态值加 1,一旦计数到 11 状态,Y=1,电路状态在下一个 CP 上升沿加到 00,输出信号 Y 下降沿可用于触发器进位操作,当 A=0 时停止计数。 例:试分析下图示电路的逻辑功能DSETQDSETQDSETQCLRQCLRQCLRQCP RdFF0FF1FF2解:①确定电路的组成部分 该电路由 3 个上升沿触发的 D 触发器组成 ②确定电路的太方程 对于 FF0 : Q0n?1 ? D0 ? Q2n (CP 上升沿到来有效) 对于 FF1 : Q1n?1 ? D1 ? Q0n (CP 上升沿到来有效) 对于 FF2 : Q2n?1 ? D2 ? Q1n (CP 上升沿到来有效) ③列出状态转换真值表Q2nQ1nQ0nQ2n+1Q1n+1Q0n+1Q2nQ1 S0 S1 S2 S3 S4 S5 S6 S7nQ0nQ2n+10 0 0 0 1 1 1 10 0 1 1 0 0 1 10 1 0 1 0 1 0 10 0 1 1 0 0 1 10 1 0 1 0 1 0 11 1 1 1 0 0 0 0Q1 S1 S3 S5 S7 S0 S2 S4 S6n+1Q0n+1④由状态表转换真值表画出如下图示状态图 S0 、 S1 、 S3 、 S7 、 S6 、 S 4 这 6 个状态,形成了主循环电路, S 2 、 S5 为无效循环S0S1S3S2S4S6S7S5有效循环无效循环⑤逻辑功能分析由状态图可以看出,此电路正常工作时,每经过 6 个时钟脉冲作用后,电路的状态循环 一次,因此该电路为六进制计数器,电路中有 2 个无效状态,构成无效循环,它们不能 自动回到主循环,故电路没有自启动能力。 三、同步时序电路设计 同步时序设计一般按如下步骤进行: 1)根据设计要求画出状态逻辑图; 2)状态化简; 3)状态分配; 4)选定触发器的类型,求输出方程、状态方程和驱动方程; 5)根据方程式画出逻辑图; 6)检查电路能否自启动,如不能自启动,则应采取措施加以解决。 例:用 JK 触发器设计一同步时序电路,其状态如下表所示,分析如图示同步时序电路。Q2nQ1nQ2n+1Q1n+10 0 1 1A=0 0 01/0 1 10/0 0 11/0 1 00/1Y A=1 11/0 00/0 01/0 10/1 解: 由题意,状态图已知,状态表已知。故进行状态分配及求状态方程,输出方程。 由于有效循环数 N=4,设触发器个数为 K,则 2 k ≥4 得到 K=2. 故选用 2 个 JK 触发器,将状态表列为真值表,求状态方程及输出方程。A 0 0 0 0 1 1 1 1Q1nQ0nQ2n+1Q1n+10 0 1 1 0 0 1 10 1 0 1 0 1 0 10 1 1 0 1 0 0 11 0 1 0 1 0 1 0Y 0 0 0 1 0 0 0 1Y 的卡偌图:A 0 1A 0 1Q1 Q0n n00 0 001 0 001 0 0n n0 Q1 Q0Qn ?1 的卡偌图:00 1 1 11 0 0 10 1 1Q0n+111 1 110 0Y=Q1Q0n nQ0nQ1n?1 的卡偌图:Q1 Q0n nA 0 100 0 1n01 1 011 0 110 1 0n n Q1n ?1 ? AQ1n Q0 ? AQ1n Q0n ? AQ1n Q0 ? AQ1n Q 0= ( AQ0n ? AQ0n )Q1n ? ( AQ0n ? AQ0n )Q1n =(A ? Q0n ) Q1n ? ( A ? Q0n )Q1n将 Q1n?1 ? Q0nn n Q1n?1 ? (A ? Q0 ) Q1n ? ( A ? Q0 )Q1n 分别写成 JK 触发器的标准形式:Q1n?1 ? J Q n ? KQ n对于 F F0 : Q0n?1 ? 1 ? Q0n ? 1 ? Q0n 得到 J 0 =1, K 0 =1 对于方程 Q1n?1 ? (A ? Q0n ) Q1n ? ( A ? Q0n )Q1n 得到 J1 =A ? Q0n K 1 = A ? Q0n画出逻辑图,选用上升沿触发的 JK 触发器A 1 1J C1 1KCPY & Q0 Q11J C1 1K FF1FF08 Vcc(电源)4Rd 复位第八章 脉冲波形的变换与产生555 定时器及其应用 1.电路结构及工作原理 控制电压 5 VCO 555 定时器内部由分压器、 6 阀值输入 电压比较器、RS 锁存器(触发器)和 VI1 集电极开路的三极管 T 等三部分组成, 其内部结构及示意图如图 22a)、22b) 2 所示。 1 触发输入 8GND 2 触发 3 输出 4 复位 555 Vcc 7 放电 6 阀值 5 控制电压VI25KVR1G1+ R0 0 0&0QC1 5K VR20&0+C2S0&0Q01G4VO 3输出0G2 5K TG3Vcc7放电端图22b) 引脚图在图 22b)中,555 定时器是 8 引脚芯卡,放电三极管为外接电 路提供放电通路,在使用定时 器时,该三极管集电极 (第 7 脚)一般要接上拉电阻,1地图22a) 555定时器的电路结构C1 为反相比较器, C 2 为同相比较器,比较器的基准电压由 电源电压 VCC 及内部电阻分压 比决定,在控制 VCO (第 5 脚)2 1 悬空时, VR1 ? VCC 、 VR2 ? VCC ; 3 3 如果第 5 脚外接控制电压, 1 则 VR1 ? VCO 、 VR2 ? VCO , Rd 端(第 4 脚)是复位端,只要 Rd 端加上低电平,输出端(第 3 脚)立 2即被置成低电平,不受其它输入状态的影响,因此正常工作时必须使 Rd 端接高电平。 由图 22a), G1 和 G2 组成的 RS 触发器具有复位控制功能,可控制三极管 T 的导通和截止。 由图 22a)可知, 2 当 Vi1 & VR1 (即 Vi1 & VCC )时,比较器 C1 输出 VR ? 0 3 1 当 Vi 2 & VR2 (即 Vi 2 ? VCC )时,比较器 C 2 输出 VS ? 1 3 RS 触发器 Q=0G3 输出为高电平,三极管 T 导通,输出为低电平( Vo ? 0 )2 1 当 Vi1 & VR1 (即 Vi1 & VCC ), Vi 2 ? VCC 时,比较器 C1 输出高电平, VR ? 1 , C 2 输出为低电平 VS ? 0 3 3基本 RS 触发器 Q=1, G3 输出为低电平,三极管 T 截止,同时 G4 输出为高电平。2 当 Vi1 & VR1 (即 Vi1 & VCC )时,比较器 C1 输出 VR ? 0 3 1 当 Vi 2 & VR2 (即 Vi 2 ? VCC )时,比较器 C 2 输出 VS ? 0 3表2 555定时器功能表 Rd 0 1 1 12 3 Vcc 2 3 Vcc 2 3 Vcc 2 3 Vcc 1 3 Vcc 1 3 Vcc 1 3 Vcc 1 3 VccVI1VI2VO 0 0 1 1 不变T的状态 导通 导通 截止 截止 不变? G1 、 G2 输出 Q=1, Q ? 1同进 T 截止, G4 输出为高电平 这样,就得到了表2所示 555 功能表。 2.应用 1)用 555 构成单稳态触发器 其连接图如图 23 所示。1若将其第 2 脚( Vi 2 )作为触发器信号的输入端,第 8 脚外接电阻 R 是第 7 脚;第 7 脚与第 1 脚之 间再接一个电容 C,则构成了单稳态触发器。 其工作原理如下:2 电源接通瞬间,电路有一个稳定的过程,即电源通过 R 向 C 充电,当 VC 上升到 VCC 时, VO 为低 VI 3 电平,放电三极管和 T 导通,电容 C 放电,电路进入稳定状态。+5V VC R 放电端 VC 触发输入 VI2 7 6 2 1 5 8 4 t VO2 3 Vcct5553VOtw0.01uF t 图24 工作波形C1 Vi ? VCC ) 若触发输入端施加触发信号( ,触发器翻转,电路进入暂稳态, VO 输出为高电平,且 图23 用555定时器接成的单稳态触发器 3 2 放电三极管 T 截止,此后电容 C 充电至 VC ? V CC 时,电路又发生翻转, VO 为低电平,放电三极管导 3 通,电容 C 放电,电路恢复至稳定状态。 其工作波形如图 24 所示。t w ? RC ln 3 ? 1.1RC2)用 555 构成施密特触发器R1 100K R3 10K 8+5V4 将 555 定时器的 Vi1 和 Vi 2 两个输入端连在 一起作为信号输入端,即可得到施密特触发器, 如图 25 所示,施密特触发器能方便地将三角波、 正弦波变成方波。 由于 555 内部比较器 C1 和 C 2 的参考 电压不同,因而基本 RS 触发器的置 0 信号 和置 1 信号必然发生在输入信号的不同电平, 因此,输出电压 Vo 由高电平变为低电平和由 低电平变为高电平所对应的 V i 值也不同,这样, 就形成了施密特触发器。VO为提高比较器参考电压 VR1 和 VR2 的稳定性, 通常在 VCO 端接有 0.01 ?F 左右的滤波电容。 根据 555 定时器的结构和功能可知:VI1 3 Vcc 2 3 Vcc图262 当输入电压 Vi ? 0 时, VO ? 1 ,当 V i 由 0 逐渐升高到 V CC 时, VO 由 1 变为 0; 3 2 1 当输入电压 V i 从高于 V CC 开始下降直到 V CC , VO 由 0 变为 1; 3 3 2 由此得到 555 构成的施密特触发器的正向阀值电压 VT ? = V CC 3 1 1 负向阀值电压 VT ? = V CC ,回差电压 ?VT ? VT ? ? VT ? = V CC 3 3 1 如果参考电压由外接的电压 VCO 供给,则这时 VT ? = VCO , VT ? = VCO 2 1 ?VT = VCO ,通过改变 VCO 值可以调节回差电压的大小 23)用 555 构成多谐振荡器 由 555 构成的多谐振荡器及其工作波形如图 27 所示2 a. 接通电源后,电容 C 被充电, VC 上升,当 VC 上升到 V CC 时,触发器被复位,同时放电三极管 T 3导通,此时 VO 为低电平,电容 C 通过 R2 和 T 放电,使 VC 下降;1 b. 当 VC 下 降 到 V CC 时 , 触 发 器 又 被 置 位 , VO 翻 转 为 高 电 平 , 电 容 器 C 放 电 所 需 的 时 间 为 3t pL ? R2C ln 2 ? 0.7RC1 2 c. 当 C 放电结束时,T 截止, VCC 通过 R1 、 R2 向电容器 C 充电, VC 由 V CC 上升到 V CC 所需的时间 3 3为 t pH ? ( R1 ? R2 )C ln 2 ? 0.7( R1 ? R2 )C2 d. 当 VC 上升到 V CC 时,触发器又发生翻转,如此周而复始,在输出端就得到一个周期性的方波,其 3 频率为 f ?t pL1 1.43 ? ? t pH ( R1 ? R2 )C在图 16 所示电路中, t pL ? t pH ,而且占空比固定不变,若将图 16 改成 17 所示电路,电路利用 D1 、 再加上电位器调节, 使构成了占空比可调 的多谐振荡器。 D 2 单向导电性将电容器 C 放电回路分开, 图中, VCC 通过 R A 、 D1 向电容 C 充电,充电时间为 t pH =0.7 R A C 电容 C 通过 D 2 、 R B 及 555 中的放电三极管 T 放电,放电时间为 t pL =0.7 R B C 因而振荡频率为 f ?t pL1 1.43 ? ? t pH ( R A ? RB )C RA ? 100% R A ? RB可见,这种振荡器输出波形占空比为 q(%) ?+5VR1 8 7 R2 VC 2 6 1 C 0.01uF 5 555 3 VO 4VC 2 3 Vcc1 3 VcctVO tPL tPH t图27
更多搜索:
All rights reserved Powered by
文档资料库内容来自网络,如有侵犯请联系客服。

我要回帖

更多关于 最简与或表达式的标准 的文章

 

随机推荐